在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1679|回复: 1

[求助] Design Compiler综合环形振荡器,网络点消失

[复制链接]
发表于 2021-12-27 01:17:51 | 显示全部楼层 |阅读模式
100资产
这是verilog源码,非常简单的一个三级环形振荡器

module ro(
        input en,
        output wire o
);


wire[2:0] n;


assign n[2]=en&(~n[1]);
assign n[1]=~n[0];
assign n[0]=~n[2];


assign o=n[0];


endmodule

在analyze和elaborate后,get_nets *可以看到
{n[2] n[1] n[0] en o N0}

网络点还是全的,然后继续compile,网络点就剩两个了
{en o}

输出的网表文件如下

module ro ( en, o );
  input en;
  output o;




  NAND2X1 U1 ( .A(o), .B(en), .Y(o) );
endmodule

目前有尝试使用
//synopsys keep_signal_name "n"
set hdlin_preserve_sequential all+loop_variables
set compile_delete_unloaded_sequential_cells false
set enable_keep_signal true
set hdlin_keep_signal_name all
set hdlin_keep_feedback true

但是都没有效果,请问这个有什么解决方法么?


image.png

最佳答案

查看完整内容

环振你要自己例化std ,综合时dont_touch
发表于 2021-12-27 01:17:52 | 显示全部楼层
环振你要自己例化std ,综合时dont_touch
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 16:35 , Processed in 0.018795 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表