在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1570|回复: 5

[求助] CTS之后clock_network_delay还是ideal

[复制链接]
发表于 2021-10-29 21:04:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在做完CTS之后,Port对应的clock_network_delay还是ideal,使用了updata_clock_latency命令,但是没有效果。有一些net是dont touch的,是不是和这个有关?
还有set_latency_options是对virtual clock使用的话,是需要在sdc里面针对每一个port创建一个clock吗?
IMG_6504.JPG
发表于 2021-10-30 11:21:15 | 显示全部楼层
你这个是icc吧?
发表于 2021-10-30 11:26:15 | 显示全部楼层
推荐打开estimate_io_latency这个功能
 楼主| 发表于 2021-10-30 17:32:48 | 显示全部楼层


qigege 发表于 2021-10-30 11:26
推荐打开estimate_io_latency这个功能


是的,是ICC。
在ICC里面man是有这个指令的,但是直接输没有这个指令,请问是为什么?
发表于 2021-11-1 09:01:59 | 显示全部楼层


mguo 发表于 2021-10-30 17:32
是的,是ICC。
在ICC里面man是有这个指令的,但是直接输没有这个指令,请问是为什么?
...


这是个变量,用set estimate_io_latency true
 楼主| 发表于 2021-11-2 10:35:45 | 显示全部楼层


qigege 发表于 2021-11-1 09:01
这是个变量,用set estimate_io_latency true


好的,我之前没看到回复,使用了set_propogated_clock,已经解决了,非常感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 09:01 , Processed in 0.022875 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表