在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1803|回复: 4

[求助] NC-verilog仿真D触发器没有打一拍。

[复制链接]
发表于 2021-6-23 23:09:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如图,就是一个基本的触发器,但是用NC-verilog仿真的时候,Q的值相较于D,没有延后一个时钟周期,而是在当前时钟的上升沿就对齐了,想知道原因,以及是不是NC-verilog的设置问题?怎么解决?
微信图片_20210623230831.jpg
发表于 2021-6-24 08:31:37 | 显示全部楼层
TB里用非阻塞来进行赋值
发表于 2021-6-24 08:40:07 | 显示全部楼层
在你的tb里,mc和D是独立产生的,两者没有因果关系,本质上在950ns两者是各自独立地拉高,这时mc会采到什么值可能是各个工具自己定义的(我猜想这种其实是采异步信号了的情况verilog标准也没法规定)。所以我猜测你的本意是外部的D其实是在mc时钟域下产生的?
发表于 2021-6-24 09:53:45 | 显示全部楼层
人为的将D的上升沿和MC的上升沿不要对齐就可以了吧!
发表于 2021-9-8 21:39:20 | 显示全部楼层
测试文件可以共享一下吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 12:03 , Processed in 0.020531 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表