在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2067|回复: 4

[求助] 小白求助!数字后仿,Cadence Xcelium18使用的问题

[复制链接]
发表于 2021-6-16 22:54:03 | 显示全部楼层 |阅读模式
200资产
本帖最后由 zgny98 于 2021-6-16 22:57 编辑

初学数字后仿出了点问题!请大家帮我看看,找找原因,十分感谢!
使用的软件是Xceliummain18。流程是网上搜索的教程,不知道对不对,请指教!
准备了三个文件,testbench文件、innovus生成的网表文件、标准单元库的.v文件
三步命令如下:
xrun -compile MASH_DSM_testbench.v MASH_DSM.v tcbn65gplus.v
   显示errors:0,warnings:0
xrun -elaborate MASH_DSM_testbench.v
   显示Writing initial simulation snapshot: worklib.MASH_DSM_testbench:v
xrun -R
   显示
xrun(64): 18.03-s001: (c) Copyright 1995-2018 Cadence Design Systems, Inc.

Trying to check out license...
Xcelium_Limited_Single_Core 18.00 - Failed
        XceliumLimitedSingleCoreLegacy 18.00 - Failed
        Xcelium_Single_Core 18.00 - Failed
        Xcelium_Single_Core_Legacy 18.00 - Failed
        Xcelium_Safety 18.00 - Failed
        Xcelium_Multi_Core 18.00 - Failed
        Xcelium_For_Partners 18.00 - Failed
xmsim: *F,NOLICN: Unable to checkout license for the simulation. 'lic_error -5'.

贴一个遇到的很类似的情况
Cadence IUS92 的LICENSE问题
http://bbs.eetop.cn/thread-394907-1-1.html
(出处: EETOP 创芯网论坛 (原名:电子顶级开发网))


发表于 2021-6-17 11:29:05 来自手机 | 显示全部楼层
lmgrd启动了吗?
 楼主| 发表于 2021-6-17 19:46:31 | 显示全部楼层


katmai 发表于 2021-6-17 11:29
lmgrd启动了吗?


请问什么是imgrd?
 楼主| 发表于 2021-6-25 08:43:43 | 显示全部楼层
已经解决了,是patch的问题,换了个patch就解决了,需要了解详情可以联系我的vx:13018290802
发表于 2023-8-25 14:39:31 | 显示全部楼层


zgny98 发表于 2021-6-25 08:43
已经解决了,是patch的问题,换了个patch就解决了,需要了解详情可以联系我的vx:13018290802 ...


请问下 使用哪个path  我也遇到了这情况
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 17:17 , Processed in 0.024711 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表