在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1683|回复: 4

[求助] set_max_delay get_clocks virtual clock 疑问

[复制链接]
发表于 2021-6-16 15:14:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,后端新手请教各位一个问题,下面这句脚本:
set_max_delay [expr $CLK_PERIOD_40M*0.5] -from [get_clocks v_APB_CLK] -to [remove_from_collection [all_clocks] [get_clocks v_APB_CLK]]

大概意思是,定义一个虚拟时钟v_APB_CLK,然后定义从虚拟时钟v_APB_CLK到其它时钟的路径最大延迟,
想请问的是get_clocks v_APB_CLK得到的集合具体是什么呢?能定位到具体的电路节点吗?
create_clock虚拟时钟的时候一般都不会像real clock一样指明电路节点,
那么get_clocks v_APB_CLK具体是以什么作为分析的对象呢?

谢谢
发表于 2021-6-16 15:26:26 | 显示全部楼层
-from [get_clocks v_APB_CLK]  就是由v_APB_CLK驱动的时序路径起点集合
-to     [get_clocks v_APB_CLK]  就是受v_APB_CLK约束的时序路径终点集合
发表于 2021-6-16 15:57:01 | 显示全部楼层
v_APB_CLK 不是虚拟的吧!需要creat或者generate吧!
 楼主| 发表于 2021-6-16 16:22:45 | 显示全部楼层


fhy420462303 发表于 2021-6-16 15:57
v_APB_CLK 不是虚拟的吧!需要creat或者generate吧!



虚拟时钟在用create_clock声明的时候一般都是像下面这样,指定name和period,不会指定pin/port吧
create_clock -name v_APB_CLK -period $CLK_PERIOD_40M -waveform "0.0 [$CLK_PERIOD_40M*0.5]"

那么[get_clocks v_APB_CLK]对应的是什么端点呢?
是前面用set_input_delay/set_output_delay -clock v_APB_CLK xxx指定的电路端点吗?
发表于 2021-6-17 17:08:11 | 显示全部楼层
对的 就是你后面写的 XXX 作为一个中间量来分析的!
如果没有这个设置的话 set_input_delay/set_output_delay -clock v_APB_CLK xxx
都没有办法进行分析了!
PS:一般不怎么用模拟时钟吧

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-25 04:31 , Processed in 0.017672 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表