在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: xyjandyyk

[求助] 关于8051IP核设计遇到的问题

[复制链接]
发表于 2021-5-24 11:34:59 | 显示全部楼层


xyjandyyk 发表于 2021-5-24 10:31
感谢您提供的帮助。今天将论文的初稿给导师看了,他说我这个设计还没到MCU这个级别,不需要添加外设,只 ...


1、那就按导师说的去验证,验证基本指令操作;
2、oms8051平台可以继续用,因为rom的代码都是由8051核来运行;
3、用汇编写个立即数的寻址指令(简单mov或者add等指令)

参考:
1、\oms8051mini\trunk\verif\sw\C:all_instr.c包含所有指令
2、\oms8051mini\trunk\verif\sw\hex:all_instr.c编译后的可执行all_instr.h(自己编写的C代码,用keil51工具生成hex)
3、\oms8051mini\trunk\verif\sw\bin:一定注意,需要使用这个maker软件,将hex文件转化成rom可读取的文件格式in
 楼主| 发表于 2021-5-27 23:09:00 | 显示全部楼层


wufeng233 发表于 2021-5-24 10:42
代码与仿真文件


您好,试了一下你的代码,发现questasim并没有display数据出来,是软件的问题还是别的什么原因
 楼主| 发表于 2021-5-27 23:19:49 | 显示全部楼层


wufeng233 发表于 2021-5-24 10:42
代码与仿真文件


我的系统名和文件夹路径都是英文的,但是依旧什么都不显示,可以的话能发你当时的一些结果来参考一下吗
发表于 2021-6-7 14:49:22 | 显示全部楼层


xyjandyyk 发表于 2021-5-27 23:19
我的系统名和文件夹路径都是英文的,但是依旧什么都不显示,可以的话能发你当时的一些结果来参考一下吗
...


这是我两年前做的,当时是用modelsim手动仿真,主要看波形输出,去验证每种指令的,仿真遇到一些错误信息就根据错误提示修改调试,当初的那些仿真结果我都没有保留,你就改ROM里的指令去测试就可以了
发表于 2021-11-15 15:44:04 | 显示全部楼层
下载下来看看再说
发表于 2023-7-18 08:53:06 | 显示全部楼层
顶一个
发表于 2023-11-27 11:48:15 | 显示全部楼层


courageheart 发表于 2021-5-24 08:57
附件提供的一款基于oc8051的简易MCU,资料比较完整。verif文件夹下有testbench(包括testcase测试案例和m ...


太好了。非常感谢分享!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 00:47 , Processed in 0.035386 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表