在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2969|回复: 5

[求助] 求助,DC综合后 worst slack 负的特别多 -10000多 不知所措 该从何下手解决呢

[复制链接]
发表于 2020-11-26 15:49:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 Bricool 于 2020-11-26 15:51 编辑

Information: Updating design information... (UID-85)
Warning: Design 'RSA' contains 13 high-fanout nets. A fanout number of 1000 will be used for delay calculations involving these nets. (TIM-134)

****************************************
Report : timing
        -path full
        -delay max
        -max_paths 1
Design : RSA
Version: P-2019.03-SP2
Date   : Thu Nov 26 15:45:49 2020
****************************************

* Some/all delay information is back-annotated.

# A fanout number of 1000 was used for high fanout net computations.

Operating Conditions: ss_v1p62_125c   Library: scc018ug_hd_rvt_ss_v1p62_125c_basic
Wire Load Model Mode: Inactive.

  Startpoint: address[0] (input port clocked by clk)
  Endpoint: datap/KEYREG/q1_reg[198]
            (rising edge-triggered flip-flop clocked by clk)
  Path Group: INPUT
  Path Type: max

  Point                                    Incr       Path
  -----------------------------------------------------------
  clock clk (rise edge)                    0.00       0.00
  clock network delay (ideal)              1.00       1.00
  input external delay                     2.00       3.00 r
  address[0] (in)                          0.14       3.14 r
  U234/ZN (INHDV2)                         0.09 *     3.24 f
  U214/ZN (CLKNAND2HDV4)                   0.12 *     3.36 r
  U4552/ZN (NOR2HDV16)                     0.08 *     3.44 f
  datap/U11287/ZN (NOR3BBHDV0)         11904.30 * #
                                                  11907.74 r
  datap/U9282/ZN (INHDV2)               -426.59 # 11481.14 f
  datap/U9285/ZN (NAND2BHDV0)            174.63 * 11655.78 f
  datap/U9288/ZN (NOR2BHDV0)               3.41 * 11659.18 f
  datap/U27116/ZN (INHDV2)                 0.41 * 11659.60 r
  datap/KEYREG/q1_reg[198]/D (DQHDV4)      0.00 * 11659.60 r
  data arrival time                               11659.60

  clock clk (rise edge)                   10.00      10.00
  clock network delay (ideal)              1.00      11.00
  clock uncertainty                       -0.70      10.30
  datap/KEYREG/q1_reg[198]/CK (DQHDV4)     0.00      10.30 r
  library setup time                      -0.10      10.20
  data required time                                 10.20
  -----------------------------------------------------------
  data required time                                 10.20
  data arrival time                               -11659.60
  -----------------------------------------------------------
  slack (VIOLATED)                                -11649.40
-10000多 被吓到不知从何处着手解决 希望有大神指点



 楼主| 发表于 2020-11-27 09:16:01 | 显示全部楼层
呜呜呜 有人吗
发表于 2020-11-30 22:13:49 | 显示全部楼层
datap/U11287/ZN (NOR3BBHDV0) 的 fanout 可能太大了。 在约束文件里加一句 set_max_fanout 50 [current_design]
 楼主| 发表于 2020-12-2 19:04:50 | 显示全部楼层


jake 发表于 2020-11-30 22:13
datap/U11287/ZN (NOR3BBHDV0) 的 fanout 可能太大了。 在约束文件里加一句 set_max_fanout 50 [current_de ...


谢谢老哥 顺利解决啦
发表于 2020-12-9 15:44:05 | 显示全部楼层
由于fanout太大导致wire load model算delay太大,你可以compiler之前,设置max_fanout约束
发表于 2023-2-28 19:50:42 | 显示全部楼层
我也遇到同样的问题 set max fanout没有任何改变该怎么办呢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 18:33 , Processed in 0.027732 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表