在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 17130|回复: 64

[原创] 各种好用EDA软件,如vcs,verdi,primetime,……太多了

[复制链接]
发表于 2019-9-1 18:46:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
      作为一个IC工程师,如果有一个独立的开发环境,会很方便自己在家学习,自己摸索,其它不说最起码自己可以学会搞Makefile,这些有可能你工作10几年了也不会,因为有其它高手人帮你弄好。废话不说了直接上图了,在下是做数字的,因此目前只会用vcs,verdi等工具,所以我下面的图片展示只是和这有关的,如果你想拥有这样一套开发环境私聊我吧。所有软件都是破解好的,提供支持帮你运行起来。

1.png



1.png
发表于 2019-9-4 21:16:26 | 显示全部楼层
Thanks for Sharing
发表于 2019-9-7 11:24:40 | 显示全部楼层


thanks for sharing

发表于 2019-9-12 18:26:45 | 显示全部楼层


thanks
发表于 2019-9-17 19:27:04 | 显示全部楼层
楼主辛苦了。感谢传递经验
发表于 2019-9-18 10:36:39 | 显示全部楼层
thanks for sharing
发表于 2019-9-19 17:15:05 | 显示全部楼层
楼主辛苦了,楼主能不能给个链接呢? 谢谢楼主了
发表于 2019-9-21 00:30:46 | 显示全部楼层


ZplusMAN 发表于 2019-9-19 17:15
楼主辛苦了,楼主能不能给个链接呢? 谢谢楼主了


呵呵,楼主这个应该是要收费的。
发表于 2019-9-23 10:23:12 | 显示全部楼层
这有什么难的 装个虚拟机  整套整套安装  Redhat5 装10年前的软件 synopsys cadence metor 全套  Redhat6 装10年后的软件  放出来的好多  最新的更新到19年   只要你有兴趣  有时间  在论坛找找都能搞出来

cadence   : IC615 616 617 618  模拟设计   ams spectre 模拟仿真  IUS incisicve xcelium 2010~2018 数字仿真混合仿真  encounter 9.1~14.1 INNOVUS 152 ~181 数字后端布线   
mentor:      dft2007  dft2013 数字DFT设计用      calibre 2010~2019  版图用  
synopsys:     dc/pt/fm/starrc/lc  2009~2019  数字后端   vcs Verdi nLint 2010~2018 数字仿真  Astro2007数字后端布线 ICC ICC2 数字后端布线

发表于 2019-9-25 14:18:49 | 显示全部楼层


kk2009 发表于 2019-9-21 00:30
呵呵,楼主这个应该是要收费的。




这种帖子风格,必然是要收费的了。。。 其实动动手,自己就能搞, 相当easy, 东西坛子里都有。。

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 11:04 , Processed in 0.036224 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表