在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1613|回复: 3

[求助] DC综合双端口RAM出现报错,请问各位大神如何解决呀?

[复制链接]
发表于 2019-7-10 17:02:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这个实双端口ram是quartus提供的RTL模板:
module true_dual_port_ram  #(
    parameter DATA_WIDTH=8                        ,     //RAM数据位宽
        parameter ADDR_WIDTH=6                              //RAM地址位宽
)(
        input    wire    [(DATA_WIDTH-1):0] data_a    ,     //A端口写数据
        input    wire    [(DATA_WIDTH-1):0] data_b    ,     //B端口写数据
        input    wire    [(ADDR_WIDTH-1):0] addr_a    ,     //A端口读写地址
        input    wire    [(ADDR_WIDTH-1):0] addr_b    ,     //B端口读写地址
        input    wire                       we_a      ,     //A端口写使能
        input    wire                       we_b      ,     //B端口写使能
        input    wire                       clk       ,     //时钟信号
        output   reg     [(DATA_WIDTH-1):0] q_a       ,     //A端口读数据
        output   reg     [(DATA_WIDTH-1):0] q_b             //B端口读数据
);

        // Declare the RAM variable
        reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];
       
        // Initial data to zero
        initial
        begin : INIT
                integer i;
                for(i = 0; i < 2**ADDR_WIDTH; i = i + 1)
                        ram[i] = {DATA_WIDTH{1'b0}};
        end
       
        // Port A
        always @ (posedge clk)
        begin
                if (we_a)
                begin
                        ram[addr_a] <= data_a;
                        q_a <= data_a;
                end
                else
                begin
                        q_a <= ram[addr_a];
                end
        end

        // Port B
        always @ (posedge clk)
        begin
                if (we_b)
                begin
                        ram[addr_b] <= data_b;
                        q_b <= data_b;
                end
                else
                begin
                        q_b <= ram[addr_b];
                end
        end

endmodule

综合报错说出现多个驱动源:请问这种问题应该怎么解决呀?

综合报错截图

综合报错截图
发表于 2019-7-11 08:40:31 | 显示全部楼层
这个模型是仿真用的,不能用于综合。
 楼主| 发表于 2019-7-11 09:06:43 | 显示全部楼层


snq31418 发表于 2019-7-11 08:40
这个模型是仿真用的,不能用于综合。


但是这个代码在quartus上是可以综合的。
发表于 2019-7-11 12:00:49 | 显示全部楼层
是的,这个文件能够在quartus中综合为电路。

综合的功能是将行为级描述转变为电路。Quartus已经为这个RAM描述配备了对应的电路,所以工具综合的时候能够找到对应的电路;DC里面没有这个文件对应的电路,所以无法综合出对应的电路。

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-16 16:30 , Processed in 0.024305 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表