在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: trantung

[求助] Verification document

[复制链接]
 楼主| 发表于 2019-5-21 21:32:50 | 显示全部楼层
thank you
发表于 2019-5-22 11:50:18 | 显示全部楼层
   Before you learn UVM(or OVM/VMM), you should study SystemVerilog first,for UVM is a kind of verification method based on SV.
   SystemVerilog for Verification--A Guide to Learning the Testbench Language Features(2nd Edition),  by Chris Spear is a very popular book for IC designer&verify engineer.
   If you are going to use UVM, you need download the 'uvm-1.1d'(or maybe it has been updated to latest version) releases package from: https://www.accellera.org/downloads/standards/uvm
   The release includes source code in 'src' fold, and documents in 'doc'. The 'doc' will be very useful to you!
   Hope this helps
发表于 2019-5-22 11:53:23 | 显示全部楼层
hope this helps
发表于 2019-5-22 12:19:02 | 显示全部楼层
SystemVerilog验证 测试平台编写指南2
UVM实战卷1
发表于 2023-4-6 03:56:31 来自手机 | 显示全部楼层
Thanks
发表于 2023-4-6 20:16:41 | 显示全部楼层
waiguoren?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-6 05:55 , Processed in 0.033275 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表