在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1550|回复: 3

[求助] Quartus软件综合非预期问题

[复制链接]
发表于 2018-11-9 22:29:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

最近用verilog写了个按键滤波程序,在quartus prime lite edtion 17.1软件,在里面顶层模块调用下层模块时若输入信号恒定为常数(10)时都无法正确综合(资源利用量为0,按键输出恒为0),只有为信号时才能综合,这个是bug?

buttonfilter #(4)dut4(.clk(OSC_FPGA),.nrs(1'b1),.buttonI(buttonI),.buttonO(buttonO));//4 buttons

1.png

改成其它信号则可综合

buttonfilter #(4) dut4(.clk(OSC_FPGA),.nrs(GPIO0),.buttonI(buttonI),.buttonO(buttonO));//4 buttons

2.png

太诡异了。Buttonfilter.v 代码如下

3.png
发表于 2018-11-10 15:06:34 | 显示全部楼层
这是个复位信号,如果固接为复位有效,那么综合工具会当做这个模块不使用,就优化掉了。但是固接为复位无效,综合工具还优化该模块的话,我也不清楚了。因为寄存器来说,时钟固接或者复位固接,都相当于告诉综合工具,这个代码不会被当做一个正常的寄存器模块来处理。
 楼主| 发表于 2018-11-10 22:02:32 | 显示全部楼层
回复 2# gaurson
是的。复位信号固接1'b1和1‘b0在modelsim里进行仿真都是正确的。怀疑这个与使用lite版有关
发表于 2018-11-11 16:39:21 | 显示全部楼层
不是的,工具目的不同,modelsim是仿真RTL行为,不会考虑固结的作用;综合工具就不同了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 13:57 , Processed in 0.024089 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表