马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
Hi all: i use Xilinx IP ROM and function simulation, the simulation result delay 0.1ns??? Romread_tb.v module Romread_tb( output wire [31:0] hrdata ); reg clk; reg [7:0] rd_addr; wire [7:0] rd_data1; wire [7:0] rd_data2; wire [7:0] rd_data3; wire [7:0] rd_data4;
initial begin clk = 0; rd_addr <= 0; #1 clk <= 1; #1 rd_addr <= rd_addr + 1'b1; clk <= 0; #1 clk <= 1; #1 rd_addr <= rd_addr + 1'b1; clk <= 0; #1 clk <= 1; #1 rd_addr <= rd_addr + 1'b1; clk <= 0; #1 clk <= 1; #1 rd_addr <= rd_addr + 1'b1; clk <= 0; end Romread rom_top_inst( .clk (clk ), .rd_addr (rd_addr ), .rd_data1 (rd_data1 ), .rd_data2 (rd_data2 ), .rd_data3 (rd_data3 ), .rd_data4 (rd_data4 ) ); assign hrdata = {rd_data4,rd_data3,rd_data2,rd_data1}; endmodule
Romread.v `timescale 1ns / 1ps module Romread( input wire clk, input wire [7:0] rd_addr, output wire [7:0] rd_data1, output wire [7:0] rd_data2, output wire [7:0] rd_data3, output wire [7:0] rd_data4 , output wire [31:0] hrdata ); wire [7:0] rd_data1; wire [7:0] rd_data2; wire [7:0] rd_data3; wire [7:0] rd_data4; test_rom test1 ( .a(rd_addr), .clk(clk), .spo(rd_data1) ); test_rom2 test2 ( .a(rd_addr), .clk(clk), .spo(rd_data2) ); test_rom3 test3 ( .a(rd_addr), .clk(clk), .spo(rd_data3) ); test_rom4 test4 ( .a(rd_addr), .clk(clk), .spo(rd_data4) ); endmodule
Xilinx IP ROM setting below:
rom0_8bit.coe file: MEMORY_INITIALIZATION_RADIX=16;
MEMORY_INITIALIZATION_VECTOR=
68,
09,
11,
13,
00,
00,
00,
00,
00,
00,
00,
15;
Simulation result:
i run behavioral simulation have output delay 0.1ns??? somebody knows why, somebody help? thanks! |