module hello_world_example;
import uvm_pkg::*;
initial begin
`uvm_info("info1","hello UVM",UVM_LOW);
end
endmodule:hello_world_example
sim.do文件
file delete -force E:/uvm/hello_world/work
# 这句话必须要添加,以保证每次运行时候先将之前的work环境删除,如果不删除会报错。
set UVM_DPI_HOME C:/modeltech64_10.4/uvm-1.1d/win64
#set the dpi_dll
vlib work
#set the work environment
vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF E:/uvm/hello_world/hello_world.sv
#compile the source
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_example
#run the UVM
run all
以上接受之后,就可以在modelsim中的transcript中运行 do sim.do 就可以运行了。