在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2083|回复: 2

[求助] NCsim irun調試C有誤

[复制链接]
发表于 2018-1-8 08:54:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在ncverilog下命令: irun結果出了錯,
irun
-sv
test.v testme.c


貼上完整.log結果
irun: 09.20-p007: (c) Copyright 1995-2009 Cadence Design Systems, Inc.
Loading snapshot worklib.top:v .................... Done
ncsim: *E,IMPDLL: Unable to load the implicit shared object.
OSDLERROR: /home/qwer/t/INCA_libs/worklib/top/v/_sv_export.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library..
ncsim: *F,FLDRUN: Failed to load dynamic library librun for DPI.
OSDLERROR:  /home/qwer/t/INCA_libs/irun.lnx86.09.20.nc/librun.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library..


有人知道這個錯誤是什麼嗎???要如何解決???
发表于 2018-2-27 11:21:29 | 显示全部楼层
你缺少那连个.so库文件,百度一下看看能不能下载安装。
发表于 2018-5-1 22:51:39 | 显示全部楼层
dfgdsfgsd
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 05:20 , Processed in 0.014702 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表