在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2938|回复: 3

[讨论] 分频器后设置generate_clock的方法

[复制链接]
发表于 2018-1-4 15:51:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 yezizhang1982 于 2018-1-4 15:53 编辑

如图所示,输入端口时钟为CLK_A,然后通过32/33分频器,出来时钟为CLK_B,然后再通过2分频器,得到时钟CLK_c.
现在问题是如果要生成时钟需要对CLK_B和CLK_C两个时钟都进行generate_clock出来。
约束设置如下:
create_clock -period xxx -name CLK_A [get_pins CLK_A]
create_generated_clock -name CLK_B -source [get_pins CLK_A] -master_clock CLK_A -divide_by 1.03125 [get_pins CLK_B]
create_generated_clock -name CLK_C -source [get_pins CLK_B] -master_clock CLK_B -divide_by 2 [get_pins CLK_B]


这样设置正确吗?


其中2分频还可以通过-edges的方式设置
create_generated_clock -name CLK_C -source [get_pins CLK_B] -master_clock CLK_B -edges{1 3 5} [get_pins CLK_B]
但是32/33分频器好像就不能这样设置了。
发表于 2018-1-5 09:28:52 | 显示全部楼层
分频时钟应该定义在分频DFF的Q(或QN)端。
create_generated_clock -name CLK_B -source [get_pins CLK_A] -master_clock CLK_A -divide_by 1.03125 [get_pins CLK_A_div_reg/Q]
create_generated_clock -name CLK_C -source [get_pins CLK_B] -master_clock CLK_B -divide_by 2 [get_pins CLK_B_div_reg/Q]
发表于 2018-1-5 17:41:52 | 显示全部楼层
楼上正解。
发表于 2020-6-25 10:59:54 | 显示全部楼层
mark
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 15:31 , Processed in 0.022651 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表