在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1701|回复: 0

[求助] ISE报的错误不知道如何解决,有谁能救救我???

[复制链接]
发表于 2017-7-10 11:52:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
程序在Altera的芯片上正常工作,现在用在XC6SLX16芯片上,在ISE中报的错误如下,不知道如何解决,有谁知道怎样解决这个问题吗?

在UCF文件中添加< NET "iRESET" CLOCK_DEDICATED_ROUTE = FALSE; >之后不再报错,但FPGA无法正常工作。

[Place 1108] A clock IOB / BUFGMUX clock component pair have been found that are not placed at an optimal clock IOB / BUFGMUX site pair.
The clock IOB component <iRESET> is placed at site <H2>.
The corresponding BUFG component <iRESET_IBUF_BUFG> is placed at site <BUFGMUX_X3Y8>.
There is only a select set of IOBs that can use the fast path to the Clocker buffer,
and they are not being used. You may want to analyze why this problem exists and correct it.
If this sub optimal condition is acceptable for this design,
you may use the CLOCK_DEDICATED_ROUTE constraint in the .ucf file to demote this message to a WARNING and allow your design to continue. However,
the use of this override is highly discouraged as it may lead to very poor timing results.
It is recommended that this error condition be corrected in the design.
A list of all the COMP.PINs used in this clock placement rule is listed below.
These examples can be used directly in the .ucf file to override this clock rule.
< NET "iRESET" CLOCK_DEDICATED_ROUTE = FALSE; >
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 05:30 , Processed in 0.019506 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表