在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2334|回复: 1

[讨论] sva断言log信息分析

[复制链接]
发表于 2017-7-6 10:44:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位,   一个简单同步低有效复位D触发器
模块简略代码:
   input a,rst,clk
   output q
   always @(posedge clk)begin
      if(!rst)
         q <= 1'b0;
      else
          q <= a;
   end

sva_src.sv:
    sequence s1;
      rst;
    endsequence
property p1;
  @(posedge clk) s1 |->
      (a, $display("P1 assert a = 1 is success\n"));
endproperty
a1: assert property(p1);

testbench.sv:
   initial begin
     clk = 1'b1;
     rst = 1'b 0;
     a = 1'b0;
     #6 rst = 1'b1;
   end
   always #1 clk = ~clk;
   always @(posedge clk)
       a = ~a;
   initial begin
     #100 $stop;
   end


执行以后打出如下log:
"sva_src.sv", 29: testbench.st.ss.a1:assert at 8ns failed at 8ns
       Offending 'a'
P1 asserty a = 1 is success
"sva_src.sv", 29: testbench.st.ss.a1:assert at 12ns failed at 8ns
       Offending 'a'
P1 asserty a = 1 is success


想问一下,从波形上看,以及打印的success信息,明明已经成功了的,红色字体的log信息中的failed的什么意思?




Best Regards!
发表于 2017-7-11 14:54:10 | 显示全部楼层
波形呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 02:44 , Processed in 0.016927 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表