在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5216|回复: 4

[求助] 请教systemverilog中有获取系统时间的函数么?

[复制链接]
发表于 2017-5-3 20:24:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 open82977352 于 2017-5-3 20:32 编辑

请教systemverilog中有获取系统时间的函数么?
有没有能直接获取万年历时间的,精确到秒,想把这个信息存入txt文本
发表于 2017-5-5 08:01:33 | 显示全部楼层
最新的SV不确定是否有,要查一下。
不过即使没有,我们也可以用c语言写一个,然后用dpi导进来。我干过这事。
发表于 2017-5-5 08:46:48 | 显示全部楼层
这个真不太清楚,关注中!
发表于 2017-5-5 08:48:10 | 显示全部楼层
本帖最后由 chenfengrugao 于 2017-5-5 08:56 编辑

如果只是要类似显示在terminal和log里,可以用SV的$system函数,如:
initial
  $system("date +%Y-%m-%d' '%H:%M:%S");
Image.png
 楼主| 发表于 2017-5-5 10:42:41 | 显示全部楼层




   谢谢,这个$system函数不能打印到文本中吧,就是说要写c函数才能保存下来这个值?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 01:43 , Processed in 0.017368 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表