马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
ISE map的时候出现eeror:The number of logical carry chain blocks exceeds the capacity for the target device.ERROR:Map:237 - The design is too large to fit the device. Please check the Design Summary section to see which resource requirement for your design exceeds the resources available in the device. Note that the number of slices reported may not be reflected accurately as their packing might not have been completed.但是我之前没有加ucf的时候能编译通过,资源用的也不多,现在加上ucf和DCM,rom存一帧图像的数据,后编译时间很长,然后map出现这样的错误,map的报告如下:
Release 14.7 Map P.20131013 (nt64) Xilinx Mapping Report File for Design'Do_orient'
Design Information ------------------ Command Line : map -intstyle ise -p xc6vlx240t-ff1156-2-w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off-r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -oDo_orient_map.ncd Do_orient.ngd Do_orient.pcf Target Device : xc6vlx240t Target Package : ff1156 Target Speed : -2 Mapper Version : virtex6 -- $Revision: 1.55$ Mapped Date : Sun Apr 09 17:31:32 2017
Design Summary -------------- Number of errors: 0 Number of warnings: 0 Slice Logic Utilization: Number of Slice Registers: 5,362 out of 301,440 1% Number used as Flip Flops: 5,324 Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 38 Number of Slice LUTs: 15,910 out of 150,720 10% Number used as logic: 15,866 out of 150,720 10% Number using O6 output only: 9,567 Number using O5 output only: 11 Number using O5 and O6: 6,288 Number used as ROM: 0 Number used as Memory: 0 out of 58,400 0% Number used exclusively as route-thrus: 44 Number with same-slice register load: 22 Number with same-slice carry load: 2 Number with other load: 20
Slice Logic Distribution: Number of occupied Slices: 5,005 out of 37,680 13% Number of LUT Flip Flop pairs used: 16,329 Number with an unused Flip Flop: 11,110 out of 16,329 68% Number with an unused LUT: 419 out of 16,329 2% Number of fully used LUT-FF pairs: 4,800 out of 16,329 29% Number of unique control sets: 198 Number of slice register sites lost to control set restrictions: 948 out of 301,440 1%
ALUT Flip Flop pair for this architecture represents one LUT paired with oneFlip Flop within a slice. A control setis a unique combination of clock, reset, set, and enable signals for a registered element. TheSlice Logic Distribution report is not meaningful if the design is over-mapped for a non-slice resource or if Placement fails. OVERMAPPING of BRAM resources should be ignored if the design is over-mapped for a non-BRAM resource or if placement fails.
IO Utilization: Number of bonded IOBs: 74 out of 600 12%
Specific Feature Utilization: Number of RAMB36E1/FIFO36E1s: 0 out of 416 0% Number of RAMB18E1/FIFO18E1s: 22 out of 832 2% Number using RAMB18E1 only: 22 Number using FIFO18E1 only: 0 Number of BUFG/BUFGCTRLs: 1 out of 32 3% Number used as BUFGs: 1 Number used as BUFGCTRLs: 0 Number of ILOGICE1/ISERDESE1s: 0 out of 720 0% Number of OLOGICE1/OSERDESE1s: 0 out of 720 0% Number of BSCANs: 0 out of 4 0% Number of BUFHCEs: 0 out of 144 0% Number of BUFIODQSs: 0 out of 72 0% Number of BUFRs: 0 out of 36 0% Number of CAPTUREs: 0 out of 1 0% Number of DSP48E1s: 9 out of 768 1% Number of EFUSE_USRs: 0 out of 1 0% Number of FRAME_ECCs: 0 out of 1 0% Number of GTXE1s: 0 out of 20 0% Number of IBUFDS_GTXE1s: 0 out of 12 0% Numberof ICAPs: 0out of 2 0% Number of IDELAYCTRLs: 0 out of 18 0% Number of IODELAYE1s: 0 out of 720 0% Number of MMCM_ADVs: 0 out of 12 0% Number of PCIE_2_0s: 0 out of 2 0% Number of STARTUPs: 1 out of 1 100% Number of SYSMONs: 0 out of 1 0% Number of TEMAC_SINGLEs: 0 out of 4 0%
Average Fanout of Non-Clock Nets: 4.06
Peak Memory Usage: 1055 MB Total REAL time to MAP completion: 3 mins 58 secs Total CPU time to MAP completion: 3 mins 56 secs
Table of Contents ----------------- Section 1 - Errors Section 2 - Warnings Section 3 - Informational Section 4 - Removed Logic Summary Section 5 - Removed Logic Section 6 - IOB Properties Section 7 - RPMs Section 8 - Guide Report Section 9 - Area Group and PartitionSummary Section 10 - Timing Report Section 11 - Configuration StringInformation Section 12 - Control Set Information Section 13 - Utilization by Hierarchy
Section 1 - Errors ------------------
Section 2 - Warnings --------------------
Section 3 - Informational ------------------------- INFOIT:243 - Logical networkOriMag_xA<8> has no load. INFOIT:395 - The above info message isrepeated 36 more times for the following (max. 5 shown): OriMag_xA<7>, OriMag_xA<6>, OriMag_xA<5>, OriMag_xA<4>, OriMag_xA<3> Tosee the details of these info messages, please use the -detail switch. INFO:MapLib:562 - No environment variablesare currently set. INFOIT:244 - All of the single endedoutputs in this design are using slew rate limited output drivers. The delay on speed critical single endedoutputs can be dramatically reduced by designating them as fast outputs. INFOack:1716 - Initializing temperatureto 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) INFOack:1720 - Initializing voltage to0.950 Volts. (default - Range: 0.950 to 1.050 Volts) INFO:Map:215 - The Interim Design Summaryhas been generated in the MAP Report (.mrp). INFOack:1650 - Map created a placed design.
Section 4 - Removed Logic Summary --------------------------------- 155block(s) removed 83block(s) optimized away 154signal(s) removed
Section 5 - Removed Logic -------------------------
The trimmed logic report below shows thelogic removed from your design due to sourceless or loadless signals, and VCC orground connections. If the removal of a signal or symbol results in thesubsequent removal of an additional signal or symbol, the message explaining thatsecond removal will be indented. This indentation will be repeated as a chain ofrelated logic is removed.
To quickly locate the original cause forthe removal of a chain of logic, look above the place where that logic is listedin the trimming report, then locate the lines that are least indented (begin atthe leftmost edge).
Loadless block"hist_uut/Msub_GND_12_o_GND_12_o_sub_460_OUT19" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r10/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r9/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r8/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r7/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r6/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r5/N10"is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourcelessblock "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r4/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r3/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r2/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Argument_data_adjust_uut/uut/fifo_r1/N10" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o"is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal "Argument_data_adjust_uut/uut/fifo_r0/N10"is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourcelessblock "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r0/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r1/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r2/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r3/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r4/N10"is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r5/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r6/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r7/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r8/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal"Guass_OriMagMagnitude_uut/uut/fifo_r9/N10" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o1" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o7" (ROM) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/ram_afull_i"(FF) removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" is sourceless and has been removed. Sourceless block "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" (ROM) removed. Thesignal "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" is sourceless and has been removed. The signal "Guass_OriMagMagnitude_uut/uut/fifo_r10/N10"is sourceless and has been removed. Unused block "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_SW 0" (ROM) removed. Unused block "Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/ gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_ SW0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o2" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o5_S W0" (ROM) removed. Unused block "Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.gl0.wr/gwss.wsts/gaf.going_afull_gaf.leaving_afull_OR_10_o6" (ROM) removed.
Optimized Block(s): TYPE BLOCK GND Argument_data_adjust_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r0/XST_GND GND Argument_data_adjust_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r1/XST_GND GND Argument_data_adjust_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r10/XST_GND GND Argument_data_adjust_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r2/XST_GND GND Argument_data_adjust_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r3/XST_GND GND Argument_data_adjust_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r4/XST_GND GND Argument_data_adjust_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r5/XST_GND GND Argument_data_adjust_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r6/XST_GND GND Argument_data_adjust_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r7/XST_GND GND Argument_data_adjust_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r8/XST_GND GND Argument_data_adjust_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.rf /gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/va lid.cstr/XST_GND GND Argument_data_adjust_uut/uut/fifo_r9/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r0/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r0/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r1/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r1/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r10/U0/xst_fifo_generator/gconvfifo.rf/grf. rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/ valid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r10/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r2/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r2/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r3/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r3/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r4/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r4/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r5/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r5/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r6/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r6/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r7/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r7/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r8/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r8/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r9/U0/xst_fifo_generator/gconvfifo.rf/grf.r f/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/v alid.cstr/XST_GND GND Guass_OriMagMagnitude_uut/uut/fifo_r9/XST_GND GND XST_GND VCC XST_VCC LUT3 hist_uut/Madd_BUS_0089_GND_12_o_add_587_OUT22 optimized to 0 LUT2 hist_uut/Madd_BUS_0091_GND_12_o_add_589_OUT21 optimized to 0 LUT3 hist_uut/Madd_BUS_0093_GND_12_o_add_591_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0095_GND_12_o_add_593_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0097_GND_12_o_add_595_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0099_GND_12_o_add_597_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0101_GND_12_o_add_599_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0103_GND_12_o_add_601_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0105_GND_12_o_add_603_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0107_GND_12_o_add_605_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0109_GND_12_o_add_607_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0111_GND_12_o_add_609_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0113_GND_12_o_add_611_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0115_GND_12_o_add_613_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0117_GND_12_o_add_615_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0119_GND_12_o_add_617_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0121_GND_12_o_add_619_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0123_GND_12_o_add_621_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0125_GND_12_o_add_623_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0127_GND_12_o_add_625_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0129_GND_12_o_add_627_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0131_GND_12_o_add_629_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0133_GND_12_o_add_631_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0135_GND_12_o_add_633_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0137_GND_12_o_add_635_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0139_GND_12_o_add_637_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0141_GND_12_o_add_639_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0143_GND_12_o_add_641_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0145_GND_12_o_add_643_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0147_GND_12_o_add_645_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0149_GND_12_o_add_647_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0151_GND_12_o_add_649_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0153_GND_12_o_add_651_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0155_GND_12_o_add_653_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0157_GND_12_o_add_655_OUT22 optimized to 0 LUT3 hist_uut/Madd_BUS_0159_GND_12_o_add_657_OUT22 optimized to 0 LUT2 hist_uut/Madd_BUS_0161_GND_12_o_add_2512_OUT22 optimized to 0
To enable printing of redundant blocksremoved and signals merged, set the detailed map report option and rerun map.
Section 6 - IOB Properties --------------------------
+---------------------------------------------------------------------------------------------------------------------------------------------------------+ | IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor |IOB | | | | | | Term | Strength | Rate | | | Delay | +---------------------------------------------------------------------------------------------------------------------------------------------------------+ | Extreme_flag | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagArgumentA<0> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagArgumentA<1> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagArgumentA<2> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagArgumentA<3> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagArgumentA<4> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagArgumentA<5> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<0> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<1> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<2> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<3> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<4> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<5> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<6> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<7> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<8> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<9> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<10> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<11> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<12> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<13> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<14> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<15> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<16> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<17> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<18> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMagMagnitudeA<19> | IOB | INPUT | LVCMOS25 | | | | | | | | OriMag_xB<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<6> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<7> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_xB<8> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<5> | IOB | OUTPUT |LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<6> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<7> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | OriMag_yB<8> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | clk | IOB | INPUT | LVCMOS25 | | | | | | | | data_begin | IOB | INPUT | LVCMOS25 | | | | | | | | main_orient1<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient1<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient1<2> | IOB | OUTPUT | LVCMOS25 | |12 | SLOW | | | | | main_orient1<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient1<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient1<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient2<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient2<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient2<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient2<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient2<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient2<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | main_orient<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | max_orient<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | max_orient<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | max_orient<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | max_orient<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | max_orient<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | max_orient<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | max_orient_flag | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | out_flag | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | | | rst | IOB | INPUT | LVCMOS25 | | | | | | | +---------------------------------------------------------------------------------------------------------------------------------------------------------+
Section 7 - RPMs ----------------
Section 8 - Guide Report ------------------------ Guide not run on this design.
Section 9 - Area Group and PartitionSummary --------------------------------------------
Partition Implementation Status -------------------------------
NoPartitions were found in this design.
-------------------------------
Area Group Information ----------------------
Noarea groups were found in this design.
----------------------
Section 10 - Timing Report -------------------------- A logic-level (pre-route) timing report canbe generated by using Xilinx static timing analysis tools, Timing Analyzer(GUI) or TRCE (command line), with the mapped NCD and PCF files. Please note thatthis timing report will be generated using estimated delay information. Foraccurate numbers, please generate a timing report with the post Place and RouteNCD file.
For more information about the TimingAnalyzer, consult the Xilinx Timing Analyzer Reference Manual; for moreinformation about TRCE, consult the Xilinx Command Line Tools User Guide"TRACE" chapter.
Section 11 - Configuration String Details ----------------------------------------- Use the "-detail" map option toprint out Configuration Strings
Section 12 - Control Set Information ------------------------------------ Use the "-detail" map option toprint out Control Set Information.
Section 13 - Utilization by Hierarchy ------------------------------------- Use the "-detail" map option toprint out the Utilization by Hierarchy section.
之前没有加ucf和图像rom,编译后截图:
这个ucf为 NET "clk_in_p" LOC = AY14; #NET "clk_in_p" TNM_NET = "clk_in_p"; #TIMESPEC "TS_v6_emac_v2_2_clk_in_p" = PERIOD "clk_in_p" 50.000 ns HIGH 50% INPUT_JITTER 50.0ps; //复位键接到外部的按键上 //LED接一个外部的LED
NET "led[0]" LOC = AE34; NET "led[1]" LOC = AE35;
NET "rst" LOC = AE33;
时钟约束去掉,不去掉都不行,报同样的错误,不知道怎么解决一周多了,希望大家 给我帮帮忙啊,感激不尽!!!! |