在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1926|回复: 1

[求助] modelsim 总是出现error loading design

[复制链接]
发表于 2017-4-9 15:24:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
capture.png
刚装上modelsim10.2时,所以功能都是可以用的,包括仿真波形,但是过了一段时间,发现modelsim 波形打不下了,
我用do文件写的脚本,运行时modelsim 总是出现error loading design,我之前也上网查找原因,都是说接口定义不对,是代码的原因。
接口定义不对,也会出现error loading design,但是我的代码是之前正确的代码,而且在别的电脑都能打开。我怀疑是我win7 32位 系统的原因。
有没有谁也出现过这种情况,怎么解决?我之前重新装过系统,然后装上modelsim10.2,但是基本上都是用了一段时间都不行了,都会出现这种情况。
公司电脑上不了网,不知道是不是系统没有打补丁出现这种情况?有谁知道吗?求助?
发表于 2017-4-11 09:54:41 | 显示全部楼层
在你的windows防火墙里面 允许程序通过windows防火墙 添加modelsim
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 06:49 , Processed in 0.016451 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表