在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5493|回复: 2

[求助] fpga

[复制链接]
发表于 2017-3-21 21:44:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小生在用quartus II打开一些工程文件时,出现了如下的错误提示,一直不知道是什么原因,这才刚开始学习就卡住了,希望各位大神能帮忙解答,实在感激不尽!(注:已经把工程文件放在了英文路径下)
can't open project——Quartus II Setting  File contain one or more errors.
unable to load logiclock assignments.Either there is no open project,or the Quartus  II setting File for the project is corrupted or missing.
发表于 2017-3-21 21:54:12 | 显示全部楼层
字面意思,是Quartus的配置文件有错误,是不是你的Quartus有问题。你新建一个工程试试如果建不了,就是软件问题。
软件破解成功了么!
发表于 2017-3-23 20:38:15 | 显示全部楼层
你的.qar文件有问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 09:21 , Processed in 0.016906 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表