在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2801|回复: 0

[求助] UVM RAL问题

[复制链接]
发表于 2017-3-2 00:39:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm_reg_item 中有一个 member 叫 extension, 是 uvm_object 类型的,注释中对其的解释是可以传用户自定义的内容

read/write_reg_by_name()中也确实有一个 uvm_object extension 的参数

但问题是,uvm_reg_adapter 中 函数 reg2bus() 和 bus2reg() 的参数都是 uvm_reg_bus_op 类型,如下:

pure virtual function uvm_sequence_item reg2bus(const ref uvm_reg_bus_op rw);

pure virtual function void bus2reg(uvm_sequence_item bus_item, ref uvm_reg_bus_op rw);

而类型 uvm_reg_bus_op 在 uvm_reg_item 中是用 struct 定义的,该 struct 中并没有 extension 的成员变量,struct 应该也没法重载

这就有一个问题:在read/write_reg_by_name()中如果给参数 uvm_object extension 赋了值,但根本就无法通过 adapter 的 reg2bus()和 bus2reg() 传给 sequencer 继而到达 driver,从而让 driver 根据 extension 中的用户信息来驱动信号

请教:哪位牛人碰到过类似问题,是如何解决的?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 22:48 , Processed in 0.011682 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表