在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1250|回复: 0

[求助] 请教MUX选择时钟的约束问题

[复制链接]
发表于 2017-2-18 15:04:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
主时钟clock分频后经过MUX1,选择出clk_enc时钟后再分频,进过MUX2选择出clk_data,clk_data再2分频得到clk_data_2。其中clk_dvi4、clk_div8、clk_enc、clk_data、clk_data_2进过手动插入的门控后作为其他模块的时钟。我通过create_generated产生时钟后,使用set_clock_group后,综合还是会出现clock crossing domain.初学者,思考了很久都没解决,请教各位,怎么约束能避免clock crossing domain?

                               
登录/注册后可看大图
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:21 , Processed in 0.017786 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表