在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3321|回复: 5

[求助] 请教MUX选择时钟的约束问题

[复制链接]
发表于 2017-2-18 15:01:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
主时钟clock分频后经过MUX1,选择出clk_enc时钟后再分频,进过MUX2选择出clk_data,clk_data再2分频得到clk_data_2。其中clk_dvi4、clk_div8、clk_enc、clk_data、clk_data_2进过手动插入的门控后作为其他模块的时钟。我通过create_generated产生时钟后,使用set_clock_group后,综合还是会出现clock crossing domain.初学者,思考了很久都没解决,请教各位,怎么约束能避免clock crossing domain?

                               
登录/注册后可看大图
发表于 2017-2-19 09:58:02 | 显示全部楼层
每create一个clock就会出现一个clock_domain,当然也会出现cross_clock_domain,都是同步clock就不会出现setup slack
 楼主| 发表于 2017-2-19 13:54:25 | 显示全部楼层
回复 2# 出尘入世 谢谢回复,但是只有主时钟clock我是用的create,在MUX1、MUX2和D—flip flop后面都是用create_generate命令,而且出现的问题是,按照设计在D-flip和latch都是相同的时钟输入,但是在setup time报告时,D-flip和latch不是相同的时钟,出现的是时钟clk_div2 到clk_div8和时钟clk_enc的cross_clock_domain. 不知道问题出现在哪儿了 捕获.PNG
发表于 2017-2-23 11:28:58 | 显示全部楼层
回复 3# 春风十米

试试在分频的地方都加上generate clock, mux 用case analysis选择确定的时钟
发表于 2017-2-27 17:29:54 | 显示全部楼层
能发一下 set_clock_group 是怎么设置的吗?
发表于 2017-2-28 13:58:11 | 显示全部楼层
回复 3# 春风十米


    这个问题还是要看设计意图是什么,你的表述没有说清楚,我们假设你clock分成的四路都是异步的(默认是同步的),因为你想避免不同clock cross间检查,所以可以在mux输出定义四路时钟,并且将这四路设置为physical_exclusive,第二级mux也一样。但是如果是要做同步的话,最好就不要这样做了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 15:44 , Processed in 0.028084 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表