在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1343|回复: 5

[求助] 关于时钟约束

[复制链接]
发表于 2017-1-10 10:20:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教各位大神有谁碰到过这种情况吗?有两个源时钟clk1和clk2,他两是异步的,然后一个clk1它是寄存器的d端输入,寄存器的时钟端是clk2,输出端是clk3,也就是说clk1和clk2同步出了时钟clk3,那么clk3该怎么声明啊?另外,clk1,clk2,clk3之间的关系该怎么声明呢?同步还是异步呢?
发表于 2017-1-10 11:07:33 | 显示全部楼层
本帖最后由 gaokl1005 于 2017-1-10 11:08 编辑

create_clock -p 10 -name clk1 [get_ports clk1]
create_clock -p 11 -name clk2 [get_ports clk2]
create_generated_clock -name clk3 [get_pins */clk3] -source [get_ports clk2]\
-master_clock clk2 -add -divide_by 2

差不多就是这个样子。 所以clk2和clk3是同步。clk1和clk3是异步
 楼主| 发表于 2017-1-10 13:38:42 | 显示全部楼层
回复 2# gaokl1005


   但是clk1和clk3之间有talk,还能设为异步吗?
发表于 2017-1-10 14:59:48 | 显示全部楼层
看看,学习一下
发表于 2017-2-6 11:25:12 | 显示全部楼层
回复 3# claire123456


   必须是异步设计啊,不然两个的phase和period全部不同,怎么talk啊。
发表于 2017-2-6 11:25:49 | 显示全部楼层
回复 3# claire123456


   必须是异步设计啊,不然两个的phase和period全部不同,怎么talk啊。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 09:48 , Processed in 0.021888 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表