在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2254|回复: 5

[求助] UVM 中sequence和driver的response使用求助

[复制链接]
发表于 2016-12-5 16:30:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
之前这个帖子貌似发错版块了,再发一遍。
请教一个问题,自己写的测试平台按照张强《UVM实战》中6.7小节response的使用,在driver中使用put_response,在sequence中get_response。为什么在driver中通过rsp.set_id_info(req)不能使rsp得到req的值呢?

                               
登录/注册后可看大图

图片为仿真打印的信息,显示rsp中所有值一直为0,可以肯定req的值是正确的。
 楼主| 发表于 2016-12-5 16:32:52 | 显示全部楼层
顶一下!
 楼主| 发表于 2016-12-5 18:23:46 | 显示全部楼层
有没有也遇到过相同情况的?
 楼主| 发表于 2016-12-9 15:12:50 | 显示全部楼层
待我先去研究下UVM源码,若明白了为什么,他日一定来贴上答案!
 楼主| 发表于 2016-12-12 16:44:11 | 显示全部楼层
已解决,结论是本来就是这样的!
发表于 2023-6-20 16:50:22 | 显示全部楼层
楼主,我也发现这样的问题了,怎么能通过rsp获取trans中的值呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 13:50 , Processed in 0.023231 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表