在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5275|回复: 4

[求助] 小白求问:如何计算8bit数据中1的个数, 一个cycle内完成, verilog语言,可综合

[复制链接]
发表于 2016-11-21 16:53:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
RT, 设计小白求问。 不要用data[0]+data[1]+……+data[7]这种方法,加法器开销太大。各位大神,还有什么其他的好方法嘛?
谢谢大家啦。
发表于 2016-11-21 18:10:24 | 显示全部楼层
存个表,就可以一周期完成
发表于 2016-11-25 12:40:45 | 显示全部楼层




   别想多了,就是这种方法最简单最省资源。
发表于 2016-12-15 15:43:15 | 显示全部楼层
1BIT的加法器开销还大?我也是醉了
发表于 2018-12-14 13:08:52 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 09:05 , Processed in 0.018095 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表