在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 艾克0928

[讨论] 关于寄存器在上电时的初值问题?

[复制链接]
 楼主| 发表于 2016-7-12 11:30:45 | 显示全部楼层
本帖最后由 艾克0928 于 2016-7-12 11:33 编辑

回复 5# 杰克淡定 额?模拟上电复位模块项目组已经有人做出来了,但是现在项目组想用数字方法实现上电复位,我在网上搜了一下,搜到深圳芯海的一篇专利,差不多就是我上述图中的那样,然后最后出现的问题就像上面描述的那样,后面我又搜到了两篇US的专利,用数字方法是可以实现的,那两篇还在琢磨……至于你说的用外部引脚复位,那个我已经做了,我这里就是两种复位方式,上电复位和外部pin脚复位,现在是为了节约面积,想采用数字方法做其中的上电复位。。。
 楼主| 发表于 2016-7-12 11:34:43 | 显示全部楼层
回复 6# d389311339 恩恩,用模拟方法已经实现了,现在想做两种方案,一种模拟上电复位,一种数字上电复位……
 楼主| 发表于 2016-7-12 12:09:43 | 显示全部楼层
回复 7# vigorkylin 额?sorry,第一个2‘b00我是想在时钟上升沿到来时先给Q给一个初值2’b00,然后由于是在begin…end模块里嘛,顺序执行,所以再判断使能端EN的值,若EN有效,则寄存器正常工作,并检测D端(D=Q+1,这个模块我没给出)的输入,若D的输入为2‘b00,此时将保持输入Q不变,也即是说,两个if是顺序的,判断完第1个才能判断第2个。但是经你这么一说,我突然发现,代码这样写可能会好些:
always@(posedge)
     begin
         Q = 2‘b00;
         if(~EN)
              begin
                    Q <= D;
              end
          else
              begin
                    Q <= Q;
              end
     end
      因为在Q输出为11时,比较器输出为1,此时EN端无效,就自动执行Q保持的功能了。
      代码风格确实有所欠缺,sorry。
      最后,其实我想问的是前面这句: Q = 2‘b00。我觉得这么写有问题,但是前仿又可以通过,。我也是醉了。。。
 楼主| 发表于 2016-7-12 12:11:17 | 显示全部楼层
回复 8# iyama
什么意思??可以具体说说吗?
发表于 2016-7-12 12:16:04 | 显示全部楼层
回复 11# 艾克0928


   想用数字寄存器产生上电复位信号,而产生复位信号的这个寄存器自己的复位如何来?呵呵,这个似乎只有理论研究意义,没有什么实际价值。
发表于 2016-7-12 12:25:39 | 显示全部楼层
回复 14# 艾克0928
这只是用于仿真,给寄存器赋初值。解决某些寄存器没有复位信号在你的testbench里面加上:
$deposit(xxxxx.Q, 2'b0);
发表于 2016-7-12 12:28:37 | 显示全部楼层
只能解决仿真时寄存器没有初始化的问题
$deposit(xxxx.Q, 2'b0);
发表于 2016-7-12 15:23:31 | 显示全部楼层
回复 13# 艾克0928


   



    如果这样写,Q<= 2‘b00完全可以去掉,因为无论如何都会被后面的Q赋值覆盖掉
 楼主| 发表于 2016-7-12 17:18:58 | 显示全部楼层
回复 15# 杰克淡定   但是人家都有专利出来了,你看——
【链接:http://www.freepatentsonline.com/result.html?sort=relevance&srch=top&query_txt=DIGITAL+POWER+ON+RESET&submit=&patents=on
360截图-23806421.jpg
但是你说的不无道理,因为数字电路要工作,寄存器要工作,其必须达到有效电平,要是没有上电,寄存器就不会工作,就不谈复位了。但是,从另一方面来讲,数字电路可以在上电完成后立即进行复位嘛……
 楼主| 发表于 2016-7-12 17:28:04 | 显示全部楼层
回复 16# iyama 哦,这应该就足够了吧,在实际的电路中,不定态要么为1要么为0,这就足够了……谢谢,我去试试
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 17:24 , Processed in 0.051591 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表