在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2406|回复: 5

[求助] rst存在max_fanout负松弛,该如何修正?跪求大神解疑,急!!!!

[复制链接]
发表于 2016-5-23 17:02:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
rst存在max_fanout负松弛,该如何修正?跪求大神解疑,急!!!!未对max_fanout加以约束,库的default max_fanout为15,目前rst的fanout为53
发表于 2016-5-24 22:57:40 | 显示全部楼层
set——max——fanout   xxx   【current——design】不可以吗?
另外为什么会出现这个问题? fanout一约束不是都约束了吗?
发表于 2016-5-25 19:45:00 | 显示全部楼层
不会是约束里面设置了ideal_network了吧!
发表于 2016-5-30 12:36:24 | 显示全部楼层
插buf就可以了
发表于 2016-12-5 17:21:15 | 显示全部楼层
我也遇到类似问题,即便设置了set_max_fanout 甚至自己手动create_buffer_tree 都无法解决,求解答。
发表于 2016-12-5 20:09:06 | 显示全部楼层
set_max_fanout,然后让工具自己修,个人觉得,最好设置一个set_max_transition的值,如果fanout有violation,但是不影响transition,可以选择性的忽略掉。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-20 09:03 , Processed in 0.021206 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表