在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7312|回复: 8

[求助] 使用VCS编译仿真UVM实例遇到的问题~~~~

[复制链接]
发表于 2016-4-28 16:17:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神,大家好!
     我是学习UVM的新手,在写完张强老师那个参考书的例子,使用vcs编译仿真的时候遇到了问题,希望大家能够帮助我进步!
     这是我写的makefile
      UVM_HOME =  usr/local/uvm-1.1d
      resulut:com run
      com:
         <开头tab建> vcs -sverilog \
                          -timescale=1ns/1ns \
                          +V2K +acc +vpi       \
                          -debug_all   -top top_tb \
                          +libext +.v +V +.vlib     \
                          +UVM_VORBOSITY= LOW \
                          +UVM_TESTNAME="case0"\
                          +sdfverbose     \
                          +incdir +$(UVM_HOME)/src \
                          +$(UVM_HOME)/src/uvm.sv  \
                          +$(UVM_HOME)/src/dpi/uvm_dpi.cc \
                          ../lib_dpi/dpi_encrypt_32.so \
                           -CFLAGS  -DVCS
                           -o ./simv  \
                           -l  ./vcs.log\
                            -cm_name  ./dut.sv \
                            ./top_tb.sv
        run:
                    ./simv +vpdfile +./my_case0.vpd \
                    +UVM_TESTNAME="case0"   \
                    +k  ./my_case0.key  \
                    +vcs +lic+wait \
                    -l ./my_case0_run.log \
                    -assert  nopostproc +report\
                    +ntb_load = ./ my_case0_lib.so
                    -gui
   报了两个一个是:warning :Unknow compile time plus argument  used
                          Unknow compile time plus argument "UVM_VORBOSITY= LOW" is ignored
         另一个是:warning :Unknow compile time plus argument  used
                     Unknow compile time plus argument "UVM_TESTNAME="case0" is ignored
为什么会报这两个warning呢?如果这样,冗余没有设置成功,而且case0 没有加上去?
是makefile写错了吗?
发表于 2016-5-3 11:15:04 | 显示全部楼层
不要加“”
发表于 2016-5-3 12:32:12 | 显示全部楼层
+UVM_VERBOSITY=UVM_LOW +UVM_TESTNAME=case0
 楼主| 发表于 2016-5-3 18:47:06 | 显示全部楼层
回复 2# A1985


   谢谢,已经解决~~~
 楼主| 发表于 2016-5-3 18:50:10 | 显示全部楼层
回复 3# kuolifeng

谢谢,已经解决~
发表于 2016-5-25 07:39:46 | 显示全部楼层
how to debug compilation errors
发表于 2018-4-9 11:38:36 | 显示全部楼层
怎么解决的啊?
发表于 2018-4-10 09:23:27 | 显示全部楼层
那两个参数是仿真阶段参数,不是编译阶段的,可以忽略
发表于 2021-2-18 16:20:47 | 显示全部楼层
incdir 后面不能跟空格,也会出问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 12:23 , Processed in 0.027801 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表