在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 26054|回复: 51

[原创] 一个UPF低功耗仿真的简单例子

[复制链接]
发表于 2016-3-15 21:09:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 saipolo 于 2016-3-16 18:58 编辑

    关于什么是UPF以及电源域等等概念赛宝龙在这里就不多说了,有兴趣的可以查阅IEEE1801-2013标准。
    先上低功耗要求,即power intent:顶层模块为TOP,而TOP中例化了一个子模块,其例化名为instA1,具体的代码如下所示:
inst的模块的代码: 1.jpg    
TOP模块的代码:
2.jpg
    顶层TOP 的供电轨道为VDD和VSS,而instA1的供电轨道为VDDA和VSS,并且当VDD掉电后instA1的out1端口也被关掉,out2不受VDD的影响。首先我们编写power intent 文件:
3.jpg


    在power intent文件中,我们根据要求创建了两个电源域,并且为电源域指定了供电端口和供电线,并将供电端口和供电线进行连接构成供电网络,而且我们还为两个电源域显式的指定了主供电线网络,最后根据要求,VDD掉电后instA1的out1也将被关掉,这样我们需要为instA1的out1端口指定相对的供电线VDD。
     写好了powerintent文件后,我们需要构建测试平台:
4.jpg

     按照通常的testbench的写法,同时要模拟电源行为,我们使用了supply_on和supply_off函数,这两个函数是upf标准中定义的两个标准库函数,其中supply_on函数接受两个参数:参数一为字符串常量,所取值应该为power intent文件中定义的供电端口名,参数二为电压值。supply_off函数只有一个字符串常量参数。
     写好testbench之后,我们需要使用synopsys公司的mvtool和vcs工具进行仿真:与一般的仿真步骤类似,仿真RTL+UPF也可以分为三步模式,不过赛宝龙更喜欢一步到位,具体命令为:
vcsmvsim  -debug_all  -upf  demo.upf  -sverilog  $ARCHPRO_ROOT/templates/upf_package.sv  *.v &
其中ARCHPRO_ROOT应该在你的.cshrc或者.bashrc中设置为环境变量,指向你的mvtool安装的根路径。
     执行上述命令,编译无误后,用dve观察仿真结果为:

仿真结果

仿真结果


     由仿真图可以看到instA1的out1有一段时间被corrupt掉了,而这段时间正是VDD掉电时,当VDD重新上电instA1的out1又恢复正常了;instA1的out2输出不受VDD掉电的影响。

【注:欢迎大家关注赛宝龙的微信公众号:赛宝龙。赛宝龙将不定期推送关于SystemC高层次综合(HLS)方面的技术文章】
发表于 2024-3-22 02:02:26 | 显示全部楼层
感谢分享
发表于 2023-9-12 10:46:13 | 显示全部楼层
thanks
发表于 2023-4-28 16:48:22 | 显示全部楼层
浅显易懂
发表于 2023-3-17 07:08:46 | 显示全部楼层
Thanks for your good info.
发表于 2023-2-9 16:27:46 | 显示全部楼层
为什么VDD掉电,只影响OUT1 。   OUT2 不受影响
发表于 2022-9-2 11:20:24 | 显示全部楼层
mark,敲代码试试
发表于 2022-8-15 10:15:29 | 显示全部楼层
就是有点旧了,新版vcs支持NLP,流程还是一样的,谢谢楼主
发表于 2022-3-25 10:12:47 | 显示全部楼层
不知道说啥好,先试试吧
发表于 2022-1-12 10:12:21 | 显示全部楼层
感谢感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 22:46 , Processed in 0.034479 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表