在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5021|回复: 8

[求助] 請問有沒有推薦的Systemverilog書/教程/視頻

[复制链接]
发表于 2015-12-28 00:15:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大牛好我是台灣這邊的工程師
本身目前是個剛要學習systemverilog的新手
之前沒有基礎
但是好像市面上有關systemverilog的資料或書非常少
而且很少是國人自己用自己的想法編寫的
幾乎都是翻譯的書
所以想要請教一下
如果要學習systemverilog
有沒有甚麼推薦的書/教程/視頻可以參考的
最好是國人自己撰寫的 (例如 UVM實戰 這種書)
而且最好是有大量的源碼跟範例
可以透過實際的例子來練習的 (我是用Questasim, 在UNIX系統下)
感謝  !!
发表于 2015-12-28 09:44:03 | 显示全部楼层
systemverilog 绿皮书。入门的。SV中文大多翻译的,可以用即可,不行就看英文标准。
 楼主| 发表于 2015-12-28 23:21:12 | 显示全部楼层
請問綠皮書是哪一本??
 楼主| 发表于 2015-12-30 01:44:02 | 显示全部楼层
想要請教一下各位大牛
關於systemverilog
有沒有甚麼教材或是網站   有大量的項目跟範例可以參考?
因為以前在學verilog的時候
都是從一些實際的項目中著手
像是螢幕輸出  驅動LED之類的
有了實際例子  感覺比較快可以上手
但是sv好像只有一些書上的幾個簡單的小範例
跟實際工作中的東西天差地遠
也沒有一個教材是教導怎麼樣從無到有去搭建一個驗證平台  來驗證某個DUT或是IP
所以現在才會覺得很難入門
光是一直看書  沒有實際例子練習
看到後面就忘了前面
實在很悶......

所以想請教各位大牛
不知道大家的學習歷程是怎麼樣的?
哪邊有項目或是範例多的教材呢?
最好是可以實際去驗證一個IP的
然後實際去看波型
或是實際講解跑完之後要怎麼樣去看結果
如果有這樣的東西
感覺會比較容易讓人快速成長   
感謝感謝!!!!   
发表于 2016-1-7 14:33:10 | 显示全部楼层
绿皮书:SystemVerilog验证 测试平台编写指南
发表于 2016-1-12 05:53:39 | 显示全部楼层
建议还是看英文版的书比较好。以下是几本比较好的SystemVerilog的书:

1. SystemVerilog for Design 2nd edition by Stuart Sutherland
2. SystemVerilog for Verification by Chris Spear
3. A Practical Guide for SystemVerilog Assertions by Srikanth Vijayaraghavan
发表于 2016-1-12 05:57:59 | 显示全部楼层
建议还是看英文原版书比较好。以下是几本不错的SystemVerilog的书:

1. SystemVerilog for Design by Stuart Sutherland
2. SystemVerilog for Verification by Chris Spear
3. A Practical Guide for SystemVerilog Assertions by Srikanth Vijayaraghavan
发表于 2022-7-29 19:09:58 | 显示全部楼层
thanks a lot
发表于 2022-7-30 10:39:01 | 显示全部楼层
本帖最后由 qsh123_123 于 2022-7-30 10:57 编辑

以前给一个学弟写过一个简单的书单,贴出来好了:
【验证书单】
中文:
1.《SystemVerilog验证-测试平台编写指南(第二版)》,克里斯·斯皮尔 著,张春,麦宋平,赵益新 翻译。
        SV的经典入门绿本本,验证首选基础,后面即使用到OVM/VMM/UVM等验证方法学也绕不开熟练掌握SV本身;
        也可以直接看英文原版,《SystemVerilog for Verification--A Guide to Learning the Testbench Language Features》,英文版有更新的第3版。
2.《芯片验证漫游指南-从系统理论到UVM的验证全视界》,刘斌 著。
        目前国内验证培训中比较知名的路科验证写的,红皮书,适合应届毕业生/转专业的同学的入门。话说,现在有些验证培训机构出现灌水现象啊,只培训验证套路是不行的,实际代码能力动手能力得跟上,原理上的理解也得跟上。
3.《UVM实战》,张强 著。
        应该是国内最早的一批翻译UVM的书籍了,对UVM平台的快速搭建和高级用法都有详细介绍。白皮书。其实一开始没有任何验证基础就直接看这个理解起来会可能有点困难,建议前面这3本书结合起来一起看。
4.《Verilog与SystemVerilog编程陷阱:如何避免101个常犯的编码错误》,作者:(美)萨瑟兰,(美)米尔斯 著。
        作者Stuart Sutherland是IEEE 1800工作组的成员,该工作组负责起草Verilog和SystemVerilog标准。总结了很多容易常犯的Verilog/SV错误,对于实际参与项目工作很有意义,对设计还是验证都有用,最好翻一翻。当作补充读物。
        英文原版《Verilog and SystemVerilog Gotchas--101 Common CodingErrors and How to Avoid Them》
5.《全面的功能验证:完整的工业流程》(美)威立 等著,沈海华,乐翔 译
        作者是几位IBM的ASIC首席工程师和验证组长,对企业和实际项目的验证全流程工作很有指导作用,更侧重于让读者了解工业界完整做完功能验证都需要哪些思路和流程,直接讲代码反而少一些。
        建议参加工作后,完整走完一个项目再去翻一翻。
        英文原版《COMPREHENSIVE FUNCTIONAL VERIFICATION--THE COMPLETE INDUSTRY CYCLE》
英文:
(上面已有中文版翻译的,已经给出英文原本书名,就不重复了)
1.《The UVM Primer--An Introduction to the Universal Verification Methodology》 Ray Salemi
        浅显易懂的一本书,没有太多复杂度语法,可用于UVM快速入门和上手。很薄,而且举例挺生动的,适合初学者。
2.《UVM-cookbook》
        Mentor的验证方法学团队出一本电子书,放在Mentor的Verification Academy上,介绍UVM/OVM挺全面的一本书,附有大量代码(绝对量大管饱),而且后面附录有详细guideline,的确可以当代码的cookbook来用。
3.《IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language》
        SV语言的IEEE标准,当语法字典查阅吧,里面的语法都有举例,都不会特别复杂,手上得备有。
4.《Universal Verification Methodology (UVM) 1.2 User’s Guide》,《Universal Verification Methodology (UVM) 1.1 User’s Guide》
        Accellera发布的UVM1.1和1.2的用户指引。不仅仅可以当做UVM的字典查阅,还配有很多齐全的验证环境、流程、结构等各种图表,可以说当做帮助文档来使用,同样手上得备有。
5.《SystemVerilog Testbench Workshop--Student Guide》
        Synopsys的培训实验电子书,跟着他们家工具和实验全流程走完就基本熟悉其EDA工具和设计验证流程了,验证方法学侧重VMM介绍,可以当做EDA工具的实验课来使用。
6.《Step-by-Step Functional Verification with SystemVeriiog and OVM》
        比较古老的一本验证书籍,一步步介绍功能验证过程,比较基础和容易理解,验证方法学侧重OVM,可以看看前面几章作为基础参考。
7.《IEEE Standard for Universal Verification Methodology Language Reference Manual》, IEEE Computer Society, IEEE Std 1800.2-2017
    IEEE标准中UVM的语法参考手册,同样建议当作UVM的字典查阅,结合前面的英文书单的3,两本大部头字典足够你查阅所有验证需要的基础和语法了,打印封装好以备不时之需查一查。
8.《ASIC/SoC Functional Design Verification--A Comprehensive Guide to Technologies and Methodologies》,Ashok B.Mehta
    一本综述性质多一些的书籍,将功能验证讲得挺齐全的。不仅仅讲了SV和UVM,还将受约束的随机验证、断言、功能覆盖率、CDC、Low-Power、基于Formal的静态验证、ESL、软硬件协同、数模混合、SoC interconnect等等整个产生生命周期的功能验证相关都讲了遍。验证入门以后,想对整个行业有个广度上的理解的童鞋可以翻一翻,挺有帮助的。代码讲得少,但看完对行业的技术现状了解会充分很多,还算挺新的一本书,2018年Springer出版的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 11:22 , Processed in 0.025306 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表