在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1506|回复: 0

[求助] synplify调用ise时出错

[复制链接]
发表于 2015-12-14 10:29:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神,本人FPGA小白,我在使用synplify-201203调用ise(版本号14.3)进行综合和PR时,在进行map时,报出以下错误: QQ截图20151214102120.png ,我用的器件是xilinx-zynq-XC7Z045。我在网上查了下原因,有两种说法,一是说软件license破解不完全,二是说必须调用vivado这个软件才可以(但是我看了下ise里面,也支持zynq-XC7Z045),请教各位大神,出现这个问题究竟是这两个原因中的哪一个或者是由于其他原因造成的,谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 03:33 , Processed in 0.020363 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表