Device Utilization Summary | [url=][-][/url] |
Slice Logic Utilization | Used | Available | Utilization | Note(s) |
Number of Slice Registers | 9,217 | 35,200 | 26% | |
Number used as Flip Flops | 8,289 | | | |
Number used as Latches | 0 | | | |
Number used as Latch-thrus | 0 | | | |
Number used as AND/OR logics | 928 | | | |
Number of Slice LUTs | 7,392 | 17,600 | 42% | |
Number used as logic | 7,248 | 17,600 | 41% | |
Number using O6 output only | 2,687 | | | |
Number using O5 output only | 119 | | | |
Number using O5 and O6 | 4,442 | | | |
Number used as ROM | 0 | | | |
Number used as Memory | 137 | 6,000 | 2% | |
Number used as Dual Port RAM | 0 | | | |
Number used as Single Port RAM | 0 | | | |
Number used as Shift Register | 137 | | | |
Number using O6 output only | 137 | | | |
Number using O5 output only | 0 | | | |
Number using O5 and O6 | 0 | | | |
Number used exclusively as route-thrus | 7 | | | |
Number with same-slice register load | 0 | | | |
Number with same-slice carry load | 7 | | | |
Number with other load | 0 | | | |
Number of occupied Slices | 2,471 | 4,400 | 56% | |
Number of LUT Flip Flop pairs used | 8,373 | | | |
Number with an unused Flip Flop | 2,237 | 8,373 | 26% | |
Number with an unused LUT | 981 | 8,373 | 11% | |
Number of fully used LUT-FF pairs | 5,155 | 8,373 | 61% | |
Number of unique control sets | 18 | | | |
Number of slice register sites lost
to control set restrictions | 30 | 35,200 | 1% | |
Number of bonded [url=]IOBs[/url] | 31 | 100 | 31% | |
Number of RAMB36E1/FIFO36E1s | 0 | 60 | 0% | |
Number of RAMB18E1/FIFO18E1s | 0 | 120 | 0% | |
Number of BUFG/BUFGCTRLs | 2 | 32 | 6% | |
Number used as BUFGs | 2 | | | |
Number used as BUFGCTRLs | 0 | | | |
Number of IDELAYE2/IDELAYE2_FINEDELAYs | 0 | 100 | 0% | |
Number of ILOGICE2/ILOGICE3/ISERDESE2s | 0 | 100 | 0% | |
Number of ODELAYE2/ODELAYE2_FINEDELAYs | 0 | | | |
Number of OLOGICE2/OLOGICE3/OSERDESE2s | 0 | 100 | 0% | |
Number of PHASER_IN/PHASER_IN_PHYs | 0 | 8 | 0% | |
Number of PHASER_OUT/PHASER_OUT_PHYs | 0 | 8 | 0% | |
Number of BSCANs | 0 | 4 | 0% | |
Number of BUFHCEs | 0 | 48 | 0% | |
Number of BUFRs | 0 | 8 | 0% | |
Number of CAPTUREs | 0 | 1 | 0% | |
Number of DNA_PORTs | 0 | 1 | 0% | |
Number of DSP48E1s | 0 | 80 | 0% | |
Number of EFUSE_USRs | 0 | 1 | 0% | |
Number of FRAME_ECCs | 0 | 1 | 0% | |
Number of ICAPs | 0 | 2 | 0% | |
Number of IDELAYCTRLs | 0 | 2 | 0% | |
Number of IN_FIFOs | 0 | 8 | 0% | |
Number of MMCME2_ADVs | 1 | 2 | 50% | |
Number of OUT_FIFOs | 0 | 8 | 0% | |
Number of PHASER_REFs | 0 | 2 | 0% | |
Number of PHY_CONTROLs | 0 | 2 | 0% | |
Number of PLLE2_ADVs | 0 | 2 | 0% | |
Number of PS7s | 0 | 1 | 0% | |
Number of STARTUPs | 0 | 1 | 0% | |
Number of XADCs | 0 | 1 | 0% | |
Average Fanout of Non-Clock Nets | 2.32 | | | |
Detailed Reports | [url=][-][/url] |
Report Name | Status | Generated | Errors | Warnings | Infos |
[url=]Synthesis Report[/url] | Current | 周六 7月 26 15:16:23 2014 | 0 | [url=]3412 Warnings (0 new)[/url] | [url=]44 Infos (0 new)[/url] |
[url=]Translation Report[/url] | Current | 周六 7月 26 15:16:48 2014 | 0 | [url=]1 Warning (0 new)[/url] | 0 |
[url=]Map Report[/url] | Current | 周六 7月 26 15:18:36 2014 | | | |
[url=]Place and Route Report[/url] | Current | 周六 7月 26 15:21:49 2014 | 0 | 0 | [url=]2 Infos (0 new)[/url] |
Power Report | | | | | |
[url=]Post-PAR Static Timing Report[/url] | Current | 周六 7月 26 15:22:10 2014 | 0 | 0 | [url=]4 Infos (0 new)[/url] |
Bitgen Report | | | | | |