|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
测试代码:`timescale 1 ns/ 1 ps
module add_sub_vlg_tst();
reg clk;
reg [7:0] i_a;
reg i_add_sub;
reg [7:0] i_b;
reg rst_n;
// wires
wire [8:0] o_result;
add_sub i1 (
// port map - connection between master ports and signals/registers
.clk(clk),
.i_a(i_a),
.i_add_sub(i_add_sub),
.i_b(i_b),
.rst_n(rst_n),
.o_result(o_result)
);
initial
begin
clk = 0;
rst_n =0;
i_add_sub = 0;
i_a = 0;
i_b = 0;
#100;
rst_n = 1;
#1000;
i_add_sub = 1;
end
always
#5 clk <= ~clk;
always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
begin
i_a <= 8'd0;
i_b <= 8'd0;
end
else
begin
i_a <= $random;
i_b <= $random;
end
end
endmodule
高阻的波形图
在Quartus 13.1中自动调用 modelsim 经一系列设置后 RTL仿真后无信号 显示HIZ; 当把文件单独在modelsim中仿真时,结果正确;请问大神这是怎么回事?谢谢! |
|