在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3089|回复: 8

[求助] UVM求助

[复制链接]
发表于 2015-8-14 14:06:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 atlandis 于 2015-8-14 15:39 编辑



我在run UVM的时候,报warning


UVM_WARNING /eda/synopsys/VCS/etc/uvm/seq/uvm_sequencer_base.svh(1520) @ 0 ps: uvm_test_top.u_tb.u_vir_seqr [UVM_DEPRECATED] Starting (deprecated) default sequence 'example_test_vseq' on sequencer 'uvm_test_top.u_tb.u_vir_seqr'. See documentation for uvm_sequencer_base::start_phase_sequence() for information on starting default sequences in UVM.

百度搜索了一下,说是语法太老,我用了
uvm_update_sequence_lib



  • Do not use the deprecated uvm_update_sequence_lib(_and_item). Start sequences with start

那么,请教大家:


   function new(input string name, uvm_component parent=null);
      super.new(name, parent);

  `uvm_update_sequence_lib

   endfunction : new

这个uvm_update_sequence_lib,应该怎么替换成start?

发表于 2015-8-14 14:59:27 | 显示全部楼层
删除。。。。。
 楼主| 发表于 2015-8-14 15:12:31 | 显示全部楼层
回复 2# A1985


   删除之后,simulation就不对了,空跑了一圈,什么都没执行
 楼主| 发表于 2015-8-14 15:36:17 | 显示全部楼层
回复 2# A1985


   这是在virtual sequencer里面定义的
发表于 2015-8-14 16:06:08 | 显示全部楼层
uvm_config_db#(uvm_object_wrapper)::set(this,"vseqr.main_phase","default_sequence",my_seq::type_id::get());
发表于 2015-8-14 16:13:23 | 显示全部楼层
uvm_config_db#(uvm_object_wrapper)::set(this,"seqr.main_phase","default_sequence",my_seq::type_id:get());
发表于 2015-8-14 16:35:53 | 显示全部楼层
1、删除。
2.seq.start(xxsqr)
脑补基础知识。。。。。
 楼主| 发表于 2015-8-14 20:26:45 | 显示全部楼层
回复 7# A1985


   ,刚刚接手UVM环境额,之前验证环境用的还是OVM的架构,不知道seq.start()这种东西,,要多找几本书看看了。谢谢额
发表于 2018-8-31 14:50:32 | 显示全部楼层
谢谢分享!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:29 , Processed in 0.020292 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表