在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2444|回复: 8

[求助] questasim10.4a 进行UVM实例验证

[复制链接]
发表于 2015-5-20 10:40:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
验证环境 win8.1 + questasim10.4a

编译没错,仿真出错了,这会是什么原因啊   求大神指点

这是怎么回事

这是怎么回事
发表于 2015-5-26 22:32:46 | 显示全部楼层
发表于 2015-6-25 22:36:59 | 显示全部楼层
求共享下载地址与破解!
发表于 2015-7-25 13:03:03 | 显示全部楼层
any linux version
发表于 2015-7-25 16:48:36 | 显示全部楼层
看翻译应该时找不到你的hello_tb_top这个module,
你翻译一下打印出来的消息就能知道找不到你要仿真的module
你可以用vsim的 时候不加-c从图形界面中看一下work库中有没有编号好的hello_tb_top这个module
发表于 2015-7-25 21:35:32 | 显示全部楼层
license的问题
发表于 2015-7-27 17:06:15 | 显示全部楼层
求网盘分享
发表于 2015-7-27 22:30:14 | 显示全部楼层
licence issue
发表于 2015-8-19 23:50:47 | 显示全部楼层
license problem!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 10:04 , Processed in 0.029665 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表