在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: ao.xang

[原创] DFF D触发器原理 同步时序设计 RTL

[复制链接]
发表于 2017-1-11 09:37:28 | 显示全部楼层
学习了
发表于 2017-1-16 17:11:23 | 显示全部楼层
要看输入与clk上升沿的关系,如果是同步的(@posedge clk  data赋值),这种情况下data肯定是在clk上升沿之后,所以data肯定是在下个上升沿有效的(或者说下一个clk上升沿才能采到数据);如果是异步的(data和clk上升沿碰巧碰在了一起),data在这个上升沿还是下一个上升沿被采到要看具体仿真器。不过从setup /hold 来说的话最好还是不要在时钟上升沿做数据的变化。
发表于 2017-1-17 19:06:26 | 显示全部楼层
这个数据不能在时钟的上升沿跳转,要满足steup
发表于 2017-3-15 09:09:16 | 显示全部楼层
这个结果是偶然,如果换一种写法或者换一个EDA,结果可能会变
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 19:56 , Processed in 0.018536 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表