在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7854|回复: 6

[求助] HDL中的求余(取模)运算%,给综合成什么?

[复制链接]
发表于 2015-4-2 23:49:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog hdl 的运算符 求余(取模)运算%,就一般算法而言,需要用到除法或多次减法。
工具综合出的 纯组合逻辑,是用什么实现的呢?整数除法器么?
发表于 2015-4-3 07:48:00 | 显示全部楼层
不可综合吧
发表于 2015-4-19 23:39:10 | 显示全部楼层
一般来讲不可综合
发表于 2015-4-20 23:55:50 | 显示全部楼层
不可以综合,现在出的高级综合工具不知道可不可以
发表于 2015-4-22 19:41:36 | 显示全部楼层
确定的!是可以被综合的。简单的,例如%2,%4,都可以用逻辑移位运算进行操作,但是遇到例如3,6非2的指数形式的操作,综合工具会调用除法器来实现。自己写一写看看post_fit适配网表,一目了然。
发表于 2015-4-23 11:31:46 | 显示全部楼层
好东西
发表于 2015-4-24 22:10:06 | 显示全部楼层
回复 5# hu6536


   有什么意义?  需要求余的实现都不是好算法
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 14:42 , Processed in 0.026094 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表