在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4100|回复: 9

[求助] vcs后仿真出现毛刺,应该怎样做

[复制链接]
发表于 2015-3-10 13:50:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
程序大概是这样   always@(posedge clk or negedge rst)
   if(!rst)
   begin
        tmp<=1'b0
        tmp1<=1'b0
        tmp2<=1'b0
   end
   else if(!rst_outside)
   begin
         tmp<=1'b0
        tmp1<=1'b0
        tmp2<=1'b0
   end
   begin
       tmp<=1;
       tm1<=tmp;
       tmp2<=tmp1;
   end
   assign clk1=tmp2^tmp;
   在dc后仿的时候,clk1没有发现在毛刺
   但在icc后仿时发现clk有毛刺,主要是tmp2和tmp输出不同时所导致
   我想问一下,像这种情况应该怎样处理?
发表于 2015-3-10 15:43:15 | 显示全部楼层
timing ok了么
 楼主| 发表于 2015-3-11 09:00:15 | 显示全部楼层
回复 2# icfbicfb


   谢谢您的回答,不知道您说的timing是什么,pt我只是初学我用report_timing slack为正,report_analysis_coverage没有violated,如果没有您想要的timing,请跟我说下是需要哪个指令,谢谢
发表于 2015-3-11 09:35:12 | 显示全部楼层
那应该ok吧,
发表于 2015-3-11 13:16:15 | 显示全部楼层
顶顶顶顶顶
发表于 2015-3-11 14:21:08 | 显示全部楼层
1.看看有没有影响仿真结果。
2. 看看时序有没有满足。
发表于 2015-3-11 19:45:14 | 显示全部楼层
回复 1# rr43578i

应该是你的rst和rst_outside信号变化引起的毛刺吧我怎么看其它情况不会出毛刺呢
 楼主| 发表于 2015-3-12 11:04:27 | 显示全部楼层
我在pt中调用dc产生的sdc就没有发现毛刺
发表于 2015-3-12 11:52:43 | 显示全部楼层
有时候timing ok, 毛刺也会很多,关键看影响不影响你的结果。 在完成clock tree 后,毛刺一般会被插入的Buffer处理掉。
发表于 2024-1-5 21:47:45 | 显示全部楼层
但是毛刺如果很多,会不会导致 peak power 过大,该怎么处理呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 08:10 , Processed in 0.029403 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表