在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1767|回复: 6

[求助] system verilog 中copy函数的疑问

[复制链接]
发表于 2015-3-5 09:32:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在自学SV。看的是《SV测试平台编写指南》,有一块看不懂。书中例子8.22说把copy函数拆分成copy数据和copy函数两块。两个函数如下
class Transaction;
rand bit[31:0] src,dst,data[8];
bit[31:0] crc;

virtual function void copy_data(input Transaction tr);
copy.src = src ;
copy.dst = dst;
copy.data = data;
copy.crc = crc;
endfunction

virtual function Transaction copy();
copy = new();
copy_data(copy);
endfunction
endclass

这中间copy_data函数有一个传参tr,这个tr是传给copy_data函数中的copy了吗?怎么传的?最好能给详细的说明一下这个拷贝函数的具体工作过程。
 楼主| 发表于 2015-3-5 10:11:38 | 显示全部楼层
没人解答吗?
 楼主| 发表于 2015-3-5 13:38:24 | 显示全部楼层
没人解答吗?
 楼主| 发表于 2015-3-5 16:04:36 | 显示全部楼层
别沉了~
 楼主| 发表于 2015-3-6 08:14:11 | 显示全部楼层
别沉了~
 楼主| 发表于 2015-3-6 14:35:38 | 显示全部楼层
没人解答吗?
 楼主| 发表于 2015-3-9 13:44:00 | 显示全部楼层
没人解答吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 19:39 , Processed in 0.027523 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表