在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2378|回复: 2

[求助] 问大神一个关于虚拟接口的问题

[复制链接]
发表于 2015-1-20 19:11:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人有如下一个接口interface test(interface ifc);//在接口中引入一个接口
.....
endinterface

但是在class里面如下面虚拟上面接口的时候NC仿真器报错了,
class cls;

virtual test tt  = top.ifc;
.....
endclass

说tt接口缺少一个interface port,但是也不能像下面那样虚拟接口

class cls
virtual test tt(interface ifc) ;
.....
endclass

但是用questasim仿真又不会报错,问下大神这样写对不对,跪谢!
 楼主| 发表于 2015-1-20 19:33:08 | 显示全部楼层
顶一个
发表于 2020-2-25 12:47:26 | 显示全部楼层
thankss
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 03:32 , Processed in 0.032257 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表