在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2571|回复: 0

新手关于modelsim仿真dump出来的fsdb文件是空的,查了好多方法都不行,求好心人指导

[复制链接]
发表于 2015-1-8 13:18:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
modelsim是altera提供的10.1ae版本,在和debussy联合仿真的时候 采用了简单的脚本,debussy和modelsim的联合设置按照网上的教程来进行
1、..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll 拷贝到modelsim下
2、modelsim.ini找到; Veriuser = veriuser.sl替换为Veriuser = novas.dll
3、仿真脚本do文件
vlib work
vlog -f rtl.f
vsim work.test -pli D:/program/debussy/share/PLI/modelsim_pli/WINNT/novas.dll
run 10us
quit -sim
4、
initial begin
  $fsdbDumpfile("wave.fsdb");
  $fsdbDumpvars;
end
运行do文件按之后自动弹出是否quit,但无论是还是否,发现生成的fsdb始终是空的
并伴随有wave.fsdb.chain
wave.fsdb.lock
wave.fsdb.slist
wave.fsdb.tlist
四个文件生成那个,度娘说是因为:生成fsdb文件后,如果不关闭modelSim,就用debussy打开fsdb文件,会提示错误,而导致不能打开fsdb文件;或者打开文件后,信号也能导入,但是全部信号都显示NF,也就是没有波形出来。并由此认为这是debussy或者modelSim的问题。其实这是因为在测试平台控制不当,fsdb文件还没有生成好。
解决的方法是:

ModelSimTranscript窗口输入Quit –sim;或者写在脚本中。

但是脚本里面已经是这样的退出仿真设置,为什么还不能生成fsdb文件呢?

在线求指教~

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-10-20 06:28 , Processed in 0.020328 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表