在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2501|回复: 5

[求助] 关于数字集成电路设计的一点疑惑

[复制链接]
发表于 2014-11-30 11:21:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近老师让我用modelsim做RTL的功能仿真和验证,中间有一个问题不大明白,想向各位大神请教,那就是,在行为级描述时我用的是C写的一个满足设计要求的行为模型,那么我要做RTL级描述时,要用verilog hdl,我想问从C到Verilog HDL 这个过程是我自己手动实现还是Modelsim可以自动实现?如果不能自动实现,未来有没有自动实现这个可能?
发表于 2014-11-30 13:28:34 | 显示全部楼层
如果自动实现的话,那么其实就是c语言综合了,基于c语言的总和器也是有的,可是不是每一种c语言的写法都能综合,而且这种综合器目前还没有在行业里流行开。
你可以尝试着开发这种c语言的综合器。这个的确看起来很有前途,可是有些东西是市场定义的,不是研发来定义的。如果真的可以这样的话,那么数字逻辑设计者都找不到工作了。
 楼主| 发表于 2014-11-30 13:36:47 | 显示全部楼层
回复 2# acgoal


    谢谢你的回答,SystemC是不是C语言可以综合的一种趋势呢
发表于 2014-11-30 14:41:52 | 显示全部楼层
实际上,不如说system verilog是最接近c可以综合的语法,systemc用来系统建模的比较多。而system verilog和verilog更加接近,现在很多综合器已经支持多数的system verilog语法综合了。
发表于 2014-11-30 18:48:11 | 显示全部楼层
systemC的确是可综合的,我们公司就用SYSTEMC综合成RTL,做设计。
也的确用很多优势,可以快速开发,与代码重用。
发表于 2014-11-30 18:58:25 | 显示全部楼层
好像没听说过
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 19:56 , Processed in 0.030990 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表