在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 15537|回复: 45

[原创] Skill版本的俄罗斯方块游戏

[复制链接]
发表于 2014-10-4 17:20:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Skill版本的俄罗斯方块游戏.zip (287.45 KB, 下载次数: 429 )

加载游戏

加载游戏

启动后的游戏界面

启动后的游戏界面
发表于 2014-10-4 23:38:39 | 显示全部楼层
哈哈, 服了你。
发表于 2014-10-5 09:55:42 | 显示全部楼层
哈哈,这个有意思
发表于 2014-10-6 14:14:37 | 显示全部楼层
请问楼主,这是32位还是64位的?我的错误提示Error!No.4
 楼主| 发表于 2014-10-7 08:00:45 | 显示全部楼层
回复 4# lemonyb


我测试用的是32位的,你这个问题我看一下,尽快解决。
发表于 2014-10-8 12:58:29 | 显示全部楼层
发表于 2014-10-8 13:14:38 | 显示全部楼层
应该不是32位的问题,是其它的问题引起的

回复 5# xuhongwei
 楼主| 发表于 2014-10-9 07:44:14 | 显示全部楼层
经过查看确认,这个问题我这边也可以模拟出来,是由于需要加载的一个组件在系统中缺失,
我想了一下,还是可以解决的。
      这几天事情比较多,周末空闲时候就修改一下代码,将需要的组件直接写到代码中,
改进后的版本也将重新上传一个来。
发表于 2014-10-10 08:17:56 | 显示全部楼层
人才呀!这样的学习才有意思。
发表于 2014-11-8 22:29:19 | 显示全部楼层
1. skill 使用t 和nil 两个单词表示 真假。
2.skill里的字串或者集合用list表示,字串的定义用list来声明或者用一个符号 ' 来声明,二者部分情况下是通用的,但是有时候还是不同的,这个大家就要看语法了。对于list字串或者集合来说,有很多现成的函数,譬如计算这个字串包含元素的个数,合并字串,找到第n个元素。

3.注意使用基本的关系运算符和逻辑运算符
4.注意 if,when,unless,case,cond,for,foreach几个经常用的函数的使用方法
5.注意print,println,printf几个函数的使用方法,尤其是格式化输出printf,对于只学习了C++而没有学习c的朋友可能要费点心看一下了。
6.注意怎样用fprint和gets,fscanf写入和读取文件内容
7.用procedure定义函数的方法,其中 @reset ,@optional, @key几种决定参数传递的方式有什么不同。
8.用let和prog定义局部变量的方式以及二者的不同
9.知道一些常用的函数如abs,round,sqrt,random,zerop是什么含义,返回值是什么类型。1. skill 使用t 和nil 两个单词表示 真假。
2.skill里的字串或者集合用list表示,字串的定义用list来声明或者用一个符号 ' 来声明,二者部分情况下是通用的,但是有时候还是不同的,这个大家就要看语法了。对于list字串或者集合来说,有很多现成的函数,譬如计算这个字串包含元素的个数,合并字串,找到第n个元素。

3.注意使用基本的关系运算符和逻辑运算符
4.注意 if,when,unless,case,cond,for,foreach几个经常用的函数的使用方法
5.注意print,println,printf几个函数的使用方法,尤其是格式化输出printf,对于只学习了C++而没有学习c的朋友可能要费点心看一下了。
6.注意怎样用fprint和gets,fscanf写入和读取文件内容
7.用procedure定义函数的方法,其中 @reset ,@optional, @key几种决定参数传递的方式有什么不同。
8.用let和prog定义局部变量的方式以及二者的不同
9.知道一些常用的函数如abs,round,sqrt,random,zerop是什么含义,返回值是什么类型。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 00:07 , Processed in 0.033712 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表