在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4379|回复: 9

[原创] 时钟设计

[复制链接]
发表于 2014-8-27 21:21:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
module top(
    input clk,
    output clk_100m,
    output rst
    );




reg [3:0]cont = 0;
wire rst ;
assign rst = &cont ? 1'b0 :  1'b1 ;


always @ ( posedge clk )
begin
  cont <= &cont ? cont : cont + 1'b1 ;
end

mypll mypll_100m
(
  .CLK_IN1 ( clk ),
  .CLK_OUT1( clk_100m ),
  .CLK_OUT2(  ),
  .RESET   (  ),
.LOCKED  (  )
);


endmodule


编译时如下错误如何解决?
ERROR:Xst:2035 - Port <clk> has illegal connections. This port is connected to an input buffer and other components.
发表于 2014-8-28 15:34:30 | 显示全部楼层
用PLL之后的时钟作为always里的沿触发 比如clk_100m
发表于 2014-8-28 19:48:29 | 显示全部楼层
没看出来啥问题,学识还太浅了
发表于 2014-8-29 17:42:04 | 显示全部楼层
我在用DCM的时候遇到过类似的问题,想必PLL应该是一样的。你的clk作为input输入到了PLL中,这个clk就不能再用到你的代码logic中了。在DCM中,一般clk作为输入,输出里面默认有一个CLK0,是和clk输入完全一样的时钟,用这个时钟就可以了。你可以在PLL中试试
发表于 2014-8-29 22:12:21 | 显示全部楼层
楼上正解,楼主去试试吧
发表于 2014-8-31 10:19:48 | 显示全部楼层
综合的时候,默认的选项是对输入引脚自动插入buf,而pll内部默认对参考时钟自动插入bufg,这两个冲突了。
发表于 2014-9-1 00:20:50 | 显示全部楼层
从xilinx FPGA规则来讲,一个时钟,不能一边被使用,一边输入PLL,最好用PLL之后的时钟,你可以用PLL输出两路时钟,一路是100M,一路是原时钟,就解决了
发表于 2017-5-4 17:30:45 | 显示全部楼层
的确如前辈所说
发表于 2018-10-22 13:52:34 | 显示全部楼层
没看出来啥问题,学识还太浅了
发表于 2018-11-16 13:18:05 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 20:54 , Processed in 0.033948 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表