在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4814|回复: 2

[求助] DC ungroup 问题

[复制链接]
发表于 2014-7-3 17:16:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
现在有一个case,因为timing比较差,所以在DC综合时需要ungroup。但是design中有几百个memory. Ungroup后没有hierarchical信息对floorplan相当不利。manual找了个set_ungroup [get_design xx] false 的办法。但貌似不管用,memory的hierarchical还是有一部分被group了。有没有什么办法能够完全保留memory的hierarchical信息。
跪求大神指点啊。
发表于 2015-10-16 09:37:27 | 显示全部楼层
兄弟,这问题你解决了吗?
发表于 2015-10-16 10:15:57 | 显示全部楼层
让dc自动ungroup不就好了 , 不控制这个, 不加-no_autoungroup 行么?

加大权重等, 如果实在要那个hierarchy,只能set dont touch了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 00:56 , Processed in 0.022592 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表