在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4498|回复: 6

[求助] DC workshop遇到困难,求各位大神指点,谢谢

[复制链接]
发表于 2014-6-16 15:48:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
是DC200703的workshop
请问为何source TOP.con这一步骤完全进行不下去?谢谢


Error: Cannot find the specified driving cell in memory.   (UID-993)
Error: Can't find lib_pin 'cb13fs120_tsmc_max/an02d0/A1'. (UID-109)
Error: Value for list '<library_cell_pin>' must have 1 elements. (CMD-036)
Error: Wire load '16000' not found. (UID-40)
发表于 2014-6-16 18:40:55 | 显示全部楼层
给你增人气!
 楼主| 发表于 2014-6-17 15:51:21 | 显示全部楼层
回复 2# 立青

目前攻到lab10了,谢谢指点
发表于 2014-10-30 10:15:24 | 显示全部楼层
额,我也是这个问题,怎么整》??在线等啊。。。。
发表于 2015-10-9 23:04:10 | 显示全部楼层
想问下DC2008.09 可以使用07的workshop 吗?
发表于 2023-3-10 10:18:46 | 显示全部楼层
工艺库没加载好吧
发表于 2023-6-16 11:19:23 | 显示全部楼层
感觉像工艺库的问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 03:22 , Processed in 0.024898 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表