在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3062|回复: 4

[讨论] modelsim 脚本仿真问题,如何让已经编译过的.v不再重新编译

[复制链接]
发表于 2014-6-15 12:24:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,如果.v文件多的话,每次都要重新编译,这里占用的时间就好多,脚步写的如下:
vlib work
set path[pwd]
set dir[file dirname $path]
set syn[file mkdir [file join $dur "syn"]
set sim[file mkdir [file join $dir "sim"]
set debussy [file mkdir [file join $dir "debussy"]]
set src [file join $dir "src"]
set run[open join $path "run.f"] w]
foreach f[golb -nocomplain [file join $sic "*.v]]{
vlog $f
puts &run &f

}
发表于 2014-6-15 17:26:51 | 显示全部楼层
其实加个宏定义就可以了
 楼主| 发表于 2014-6-20 15:11:46 | 显示全部楼层
额`,可以详细些吗,谢谢
发表于 2014-7-5 21:45:50 | 显示全部楼层
不错的资料
发表于 2014-9-26 13:56:34 | 显示全部楼层
回复一个吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 00:28 , Processed in 0.030031 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表