在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4396|回复: 9

[求助] uvm_analysis_fifo

[复制链接]
发表于 2014-6-13 10:33:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm_analysis_fifo后面跟的类型怎么样定义呢,一定要是transaction吗?比如现在就想传递一个logic[7:0] data,这个uvm_analysis_fifo如何写?
uvm_analysis_fifo  #(logic[7:0] data) ap;  好像不对。大神帮我解决下,
刚接触uvm不久。
发表于 2014-6-13 10:53:19 | 显示全部楼层
一定要是transaction
发表于 2014-6-13 13:32:46 | 显示全部楼层
UVM好不容易才把Signal level的仿真抽象成Transaction Level,你这是要革UVM的命吗?

logic类型的变量都是static的,UVM的中的觉得多数都是dynamic。你把static的变量放在dynamic的对象中,完全是不合逻辑的。
 楼主| 发表于 2014-6-13 13:51:08 | 显示全部楼层
回复 3# beyondjh
    比如对于monitor采集到dut的三个输出a,b,c,必须再把这三个输出整流成transaction的格式才能传给scb吗?按我现在能理解的,transaction
也就是sequence_item吧,其中定义的应该都是些要发送的激励啊,后面monitor
的结果要打包成的transaction是需要再另外定义吗?不然硬要往激励的transaction上
靠,我还不大理解。
发表于 2014-6-13 14:28:45 | 显示全部楼层
回复 4# 6862478

monitor和driver在转换transaction和signal时的动作刚好相反:driver通过虚接口把transaction转换成signal,monitor通过虚接口把signal转换成transaction。

这种转换可以在monitor中实现,也可以自己定义一个collector的component来实现,这样更清晰。
发表于 2014-6-13 14:34:34 | 显示全部楼层
回复 4# 6862478

driver中的transaction和monitor中的transaction可以不同,但很多例子中,都是一样的。另外,transaction是没有方向的概念的,不要与input output ports搞混了。


monitor中收集到的transaction可以通过uvm_analysis_port传给另外的component用。
发表于 2014-6-17 19:11:56 | 显示全部楼层
那两个monitor,一个monitor用到另一个monitor的产生地结果,怎么连结啊?
发表于 2014-6-21 23:48:32 | 显示全部楼层
回复 7# 542002990
为什么两个monitor之间要耦合啊?
发表于 2014-12-9 17:51:34 | 显示全部楼层
一定要是transaction,你可以写一个transaction,里面就只有一个logic[7:0]数据类型
发表于 2014-12-9 17:55:03 | 显示全部楼层
学这个玩意,你自己按照书上的那个例子,编译,调试,搞通了就搞懂了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 14:34 , Processed in 0.032094 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表